Aluminum oxide mask fabrication by focused ion

0 downloads 0 Views 844KB Size Report
Apr 9, 2013 - The Al2O3 mask can be used for various purposes, but in this work it .... irradiation of Zr, Cr, Sn or Al plus O [20]. .... Oxford Instruments' PlasmaLab System 100. ... recipe was conducted at −120 ◦C with an ICP power of 800 W.
Home

Search

Collections

Journals

About

Contact us

My IOPscience

Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

This article has been downloaded from IOPscience. Please scroll down to see the full text article. 2013 Nanotechnology 24 175304 (http://iopscience.iop.org/0957-4484/24/17/175304) View the table of contents for this issue, or go to the journal homepage for more

Download details: IP Address: 130.188.8.27 The article was downloaded on 22/04/2013 at 07:44

Please note that terms and conditions apply.

IOP PUBLISHING

NANOTECHNOLOGY

Nanotechnology 24 (2013) 175304 (6pp)

doi:10.1088/0957-4484/24/17/175304

Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching Zhengjun Liu1 , Kari Iltanen1 , Nikolai Chekurov1,2 , Kestutis Grigoras3 and Ilkka Tittonen1 1

Department of Micro and Nanosciences, School of Electrical Engineering, Aalto University, PO Box 13500, FI-00076 Aalto, Finland 2 Micro and Nanosystems, School of Electrical Engineering, KTH Royal Institute of Technology, Osquldas v. 10, SE-100 44 Stockholm, Sweden 3 Microsystems and Nanoelectronics, VTT Technical Research Centre of Finland, PO Box 1000, FI-02044 VTT, Finland E-mail: [email protected]

Received 18 February 2013 Published 9 April 2013 Online at stacks.iop.org/Nano/24/175304 Abstract A novel aluminum oxide (Al2 O3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al2 O3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al2 O3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga+ ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al2 O3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga+ FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al2 O3 mask protects the underlying silicon from Ga+ ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 µm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (Some figures may appear in colour only in the online journal)

1. Introduction

been extended to hard mask direct writing without the resist process. Resist-free processes for silicon etch mask fabrication fall into two categories, direct silicon doping for etch stop and patterning a hard mask layer deposited on silicon. Direct FIB patterning on silicon as an etch mask is a well studied issue for three-dimensional (3D) nanostructure fabrication [3] and prototyping. Gallium FIB treated silicon shows selectivity against the non-treated region in both plasma [4, 5] and wet chemical etching [6–8]. Taking advantage of the anisotropic nature of silicon etching in alkaline wet etchants [9, 10] and inductively coupled plasma reactive ion etching (ICP-RIE) [5,

Focused ion beam (FIB) technology is inherently a maskless lithographic method for direct milling and curing of the resist with the resolution of 40–50 nm. Meanwhile, FIB is also an approach for local ion implantation. Ion implantation is a method of surface modification which changes the chemical reactivity of materials. A well known example is that a high concentration p-type dopant implantation in silicon, such as boron, drastically reduces the etch rate of doped silicon in alkaline etchants [1, 2]. Due to the high resolution and surface modification capability, the application of FIB has 0957-4484/13/175304+06$33.00

1

c 2013 IOP Publishing Ltd Printed in the UK & the USA

Nanotechnology 24 (2013) 175304

Z Liu et al

11], suspended silicon wires, bridges and cantilevers can be fabricated. A limitation of FIB implantation in silicon for etch stop is the lattice damage and contamination caused by implantation species which change the mechanical and electrical properties of the silicon based structures. This may be detrimental for a variety of devices in microelectromechanical systems (MEMS). The threshold value of Ga+ implantation dose for silicon etch masking is 2 × 1016 ions cm−2 [11], which is barely one order lower than the saturation dose, 1 × 1017 ions cm−2 [12]. Therefore, the silicon masking layer is expected to experience similar defects compared with silicon processed with FIB milling. For example, dimension modification with Ga+ FIB milling was found to cause deterioration of suspended cantilevers. Ion implantation gives rise to tensile strain to the top surface of a cantilever, which leads to an undesired curvature of the cantilever [13]. Lattice damage of silicon is likely to increase the energy dissipation of an acoustic wave propagating in it, and consequently lower the quality factor (Q factor) of the acoustic wave propagation device [14]. On the other hand, Ga+ FIB implantation introduces an amorphous layer below the silicon surface and decreases the resistivity of the silicon. However, when the structure is scaled down to nanometer size, the spatial distribution of the amorphous layer defects vary significantly from device to device, which causes considerable device-to-device fluctuation of resistivity [15]. In addition to mechanical and electrical property change, further silicon machining of the doped masking area is very difficult. A solution to prevent ion implantation causing lattice deterioration and contamination without sacrificing the resolution advantage of a FIB process is to introduce a hard mask deposited on silicon. Conventional nanoscale top surface imaging methods, such as FIB lithography [16] and electron beam lithography [17, 18], require additional resist processes, which raise resist related issues. Direct FIB milling of the hard mask is impeded, due to the inevitable ion invasion into the silicon surface during mask milling, which instead turns into the etch stop mask. In 2000, Koh et al developed a resist-free direct writing process for silicon dioxide (SiO2 ) hard mask fabrication by using focused electron beam patterning and wet etching [19]. They took advantage of the enhanced etch rate of electron beam exposed SiO2 in a hydrofluoric acid (HF) based solution. Concave nanopyramid arrays with 100 nm pitch were obtained in silicon substrate while the process window was wide. Aluminum oxide (Al2 O3 ) is another material which changes chemical reactivity upon energetic beam exposure. McHargue et al reported that an amorphous Al2 O3 layer with enhanced chemical reactivity can be produced by ion beam irradiation of Zr, Cr, Sn or Al plus O [20]. This work introduces a novel top surface imaging method for Al2 O3 mask fabrication, utilizing FIB patterning combined with wet etching. Shallow surface Ga+ FIB irradiation increases the etch rate of Al2 O3 in both acid and alkaline etchants. Thus the mask contrast can be revealed by wet etching. It is a modification of Ga+ FIB implantation for silicon etch stop, which eliminates the dopant invasion of

Figure 1. Schematic illustration of Ga+ FIB irradiated Al2 O3 layer on silicon substrate with estimated etch profile evolution.

the silicon substrate by introducing an additional protective layer. This method retains the essential advantage of the FIB technique, which is digitally controlled maskless patterning with high resolution, and provides additional flexibility of FIB application.

2. Optimization of masking layer parameters The Al2 O3 films were grown on silicon substrates by atomic layer deposition (ALD) in a TFS-500 thin film system reactor (Beneq, Finland), utilizing trimethyl aluminum (TMA) as the metal precursor and water as the oxygen precursor. The process was conducted at 220 ◦ C and nitrogen was used as a carrier gas. The patterning of the Al2 O3 hard mask included FIB irradiation and wet etching. A Helios Nanolab 600 dual-beam system was used for local gallium ion implantation with a raster scanning routine. An acceleration voltage of 30 kV was applied for the patterning of all samples in this work. The Ga+ ion beam was generated from a liquid metal ion source with the beam current varying between 1.5 pA and 22 nA. The dwell time could be varied between 50 ns and 4.6 ms. The FIB patterned Al2 O3 mask was released in aluminum etchant (H3 PO4 :HNO3 :H2 O). The main factors that should be taken into account for the optimization of masking layer parameters include the thickness of the Al2 O3 layer, the Ga+ FIB dose for mask patterning and the wet etch time. Sufficient thickness of the Al2 O3 layer is required to protect the underlying silicon substrate from Ga+ contamination. Meanwhile, the Al2 O3 layer should be as thin as possible to minimize isotropic etching induced feature dimension offset. Figure 1 shows the schematic isotropic etch profile development during wet etching. The dashed lines from the top to the bottom represent the trend of the etch frontier proceeding. In theory, the maximum mask dimension offset in one direction (h) is equivalent to the removed Al2 O3 layer. The gallium ion invasion into silicon through Al2 O3 layers with different thicknesses during a FIB process was simulated with Stopping and Range of Ions in Matter (SRIM), which is ion impact analysis software utilizing Monte Carlo simulation [21]. Figure 2 shows that a 50 nm thick Al2 O3 layer is sufficient to prevent Ga+ spreading into the silicon substrate. 2

Nanotechnology 24 (2013) 175304

Z Liu et al

Figure 2. Simulated gallium ion distribution in the Al2 O3 /Si stack when the Al2 O3 layer thickness was 50 nm.

The optimization of Ga+ FIB irradiation dose was aimed at finding sufficient doses for fast pattern development during wet etching without evident removal of the mask surface. In figure 3(a) we compare the typical mask profiles patterned with various doses. The rectangular pattern on the left is transferred by low dose ion implantation without evident surface damage. When the ion dose increases, Al2 O3 milling takes place, leaving the milling frontier with Ga+ implantation (rectangular pattern in the middle). When the irradiated ion dose is extremely high, the ion beam mills through the Al2 O3 layer and continues into the silicon substrate, leaving the silicon milling frontier implanted with gallium (rectangular pattern on the right). The subsequent wet etching releases the rectangle patterns on the Al2 O3 hard mask (figure 3(b)). Extensively high irradiation doses lead to the failure of mask patterning due to the gallium invasion into silicon, which instead turns out to be the etch stop mask for silicon etching (figure 3(c)). In the Ga+ FIB dose and wet etch time test, irradiation doses 2 × 1013 , 2 × 1014 , 2 × 1015 and 2 × 1016 ions cm−2 were chosen because these doses do not cause severe damage to the Al2 O3 surface during the FIB process. The patterning was done on 100 nm thick Al2 O3 layers. After patterning, the Al2 O3 mask was etched in aluminum etchant for different times from 0.5 to 5 min at 50 ◦ C. Trench depths were then determined by atomic force microscopy (AFM) and thicknesses of the remaining Al2 O3 layers were measured by ellipsometry. Figure 4 shows the trench depths on the mask formed by different gallium doses and various wet etch times. The etch rate of non-irradiated Al2 O3 in aluminum etchant was tested to be 7.3 nm min−1 at 50 ◦ C. The calculated development of the thickness of the non-irradiated 50 nm Al2 O3 layer during wet etching is also shown in the same figure. When we study in the same plot the line that describes how the thickness behaves as a function of time versus the contrast development, the intersection indicates the minimum etch time for releasing a 50 nm Al2 O3 mask. Curves for all the tested doses followed the same trend with two distinct behaviors. At short times, the trench depth was increasing, and would reach saturation after a certain etch time. Higher gallium doses led to obviously

Figure 3. Schematics of the process flow for Al2 O3 hard mask patterning and silicon DRIE. (a) FIB patterning of the ALD Al2 O3 mask; (b) Al2 O3 mask released in aluminum etchant; (c) silicon DRIE with the Al2 O3 mask. Ion implantation in the lateral dimension, sidewall imperfection and surface damage are neglected in the drawing.

Table 1. Saturation time and ultimate trench depth at corresponding gallium dose. Ga+ dose (ions cm−2 )

Saturation time (min)

Average saturation trench depth (nm)

2 × 1013 2 × 1014 2 × 1015 2 × 1016

3 3 4 4

8.2 13.8 19.2 32.9

greater trench depths upon saturation. Small fluctuations were observed for the trench depth after saturation, which was probably due to the measurement error resulting from the cross-section analysis of AFM images and/or the etch rate variation of the individual tests, which was highly sensitive to reaction conditions. Saturation trench depth is equivalent to the upper limit of mask thickness that can be reached at each irradiation dose. The saturation time and the average saturation trench depth at the corresponding Ga+ FIB dose are listed in table 1. The high trench depth patterned with the ion dose of 2 × 1016 ions cm−2 allows shorter etch time for revealing the mask, which consequently decreases the over-etch. Therefore, doses of the order of 1016 ions cm−2 are considered facilitative for this application. 3

Nanotechnology 24 (2013) 175304

Z Liu et al

Figure 6. The comparison between designed and fabricated widths of the Al2 O3 masking lines in the vertical line array, patterned on a 50 nm thick Al2 O3 layer with Ga+ FIB dose 8 × 1015 ions cm−2 .

Figure 4. Trench depths developed on 100 nm thick Al2 O3 masks formed by various gallium doses (ions cm−2 ) and wet etch times. The dashed line indicates the thickness development of a 50 nm non-irradiated Al2 O3 mask during etching.

values. The average line/space ratio was 0.95, which was quite close to the ideal value of unity. Masking capacity in terms of equally wide line and space pair number per micrometer reached 7.

3. Nanoscale structures 3.1. Resolution Resolution test patterns were transferred on the Al2 O3 layer with Ga+ FIB irradiation doses varying from 6 × 1015 to 4×1016 ions cm−2 . The full width at half maximum (FWHM) diameter of FIB was 14 nm in mask patterning. A digital mask with vertical nanoline array patterns was chosen for the resolution test. The array contained equally wide line and space pairs with linearly increasing linewidth that ranged from 3 to 195 nm. Patterned elements were etched in an ICP-RIE etcher, Oxford Instruments’ PlasmaLab System 100. High density SF6 /O2 plasma was generated by an ICP source at 13.56 MHz and ion energies were controlled separately with capacitively coupled plasma (CCP) operated at 13.56 MHz. The standard recipe was conducted at −120 ◦ C with an ICP power of 800 W combined with a CCP power of 3 W, while the SF6 /O2 gas flow was 40/6.2 sccm. Figure 5 shows a top view of the scanning electron microscope (SEM) image of the Al2 O3 mask with the vertical nanoline array patterned with a dose of 8 × 1015 ions cm−2 . The ALD Al2 O3 film prior to etching was 50 nm thick, and the wet etching time after gallium ion irradiation was 4 min. Half pitch was measured to be 59 nm for the narrowest masking line. Figure 6 shows the comparison between the designed and actual Al2 O3 masking line width in the vertical nanoline array. Fabricated masking line widths showed a linear trend as designed, and possess high consistence with the designed

3.2. Freestanding structure The introduced masking method was tested in the fabrication of a freestanding resonator. The fabricated resonator was a single-ended tuning fork actuator, with length L = 24.8 µm, width w = 1.25 µm, distance between the branches a = 1.25 µm and coupling gap width d = 1.3 µm. The fabrication was carried out on a silicon-on-insulator (SOI) substrate with a 10 µm thick device layer, a 1 µm thick buried oxide layer and a 380 µm thick handle layer. The suspended structure was released utilizing the notching effect during ICP-RIE. The notching effect refers to the lateral silicon removal over the insulator layer during DRIE, which is due to the charging on the insulator layer. Figure 7 shows the fabricated freestanding resonator after releasing. Eigenfrequency study of the single-ended fork resonator was carried out with simulation software COMSOL Multiphysics, with the physical parameters of silicon as shown in table 2. The simulated in-phase and anti-phase vibration modes are shown in figure 8. The corresponding eigenfrequencies were fin-phase = 1.38 MHz and fanti-phase = 2.61 MHz. The simulated eigenfrequencies of the single-ended fork resonator were verified with frequency response measurement (figure 9(a)). The applied DC bias voltage was 25 V, and the amplitude of the AC drive power was −50 dBm. The

Figure 5. SEM image of the Al2 O3 mask with vertical line array pattern fabricated by FIB irradiation with 8 × 1015 ions cm−2 dose followed by wet etching. Achieved line widths range from 59 to 205 nm. 4

Nanotechnology 24 (2013) 175304

Z Liu et al

Figure 7. SEM image of fabricated resonator with the following dimensions: L = 24.8 µm, d = 1.3 µm, w = 1.25 µm and a = 1.25 µm.

(a)

(b) Figure 9. (a) Schematic frequency measurement set-up. (b) Measured frequency response of the single-ended tuning fork resonator.

Figure 8. COMSOL simulated vibration modes of the fabricated resonator. (a) In-phase mode with eigenfrequency 1.38 MHz, and (b) anti-phase mode with eigenfrequency 2.61 MHz.

process parameters were found for patterning a 50 nm thick Al2 O3 layer with Ga+ FIB dose of the order of 1015 –1016 ions cm−2 . The resolution test showed that one can create at maximum seven equally broad line and void pairs for a 1 µm wide area, while the line/void width ratio was 0.95. Process parameters of wet etching and ICP-RIE are strongly dependent on the reaction conditions and equipment specifications, which may lead to mask dimension offset. Therefore, it is recommended to process a dummy run to estimate the feature dimension compensation for designing a mask. This method leaves out the resist processes and avoids all resist related issues. It is suitable for the patterning of a substrate with fragile structures which do not stand spin-on and ultrasound processes. By introducing an extra protecting layer, the lattice damage and contamination to silicon are eliminated, compared to p-type dopant FIB patterning for silicon masking. Additionally, this process is a dark field patterning method, which provides a complementary alternative to bright field Ga+ FIB patterning for silicon etch stop. The application of the introduced masking has been demonstrated for electrostatically coupled MEMS device fabrication. The device was verified to be fully operational. Future work is expected to extend the application of this method to submicrometer structure fabrication.

Table 2. Physical parameters of silicon in COMSOL simulation. Parameter

Setting value

Density Young’s modulus Poisson’s ratio

2329 kg m−3 169 GPa 0.064

surrounding atmosphere pressure of the resonator during measurement was below 0.01 mbar. As shown in figure 9(b), the in-phase and anti-phase frequencies were measured to be fin-phase = 1.43 MHz and fanti-phase = 2.65 MHz, respectively, which are within 4% of the simulated values. The high accordance of the measured and simulated results indicates the successful release of the resonator structure. Q factors of the in-phase and anti-phase resonances reached 13 520 and 11 900, respectively, which indicates the high performance and quality of the fabricated resonator.

4. Conclusions In this work, a resist-free process for Al2 O3 hard mask patterning is introduced, which took advantage of the increased etch rate of the gallium FIB irradiated Al2 O3 compared to the non-irradiated one in the wet etchant. Wet etching was applied to reveal the mask pattern. Optimal 5

Nanotechnology 24 (2013) 175304

Z Liu et al

Acknowledgments

[9] Bischoff L, Schmidt B, Lange H and Donzev D 2009 Nano-structures for sensors on SOI by writing FIB implantation and subsequent anisotropic wet chemical etching Nucl. Instrum. Methods Phys. Res. B 267 1372–5 [10] Sievil¨a P, Chekurov N and Tittonen I 2010 The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching Nanotechnology 21 145301 [11] Chekurov N, Grigoras K, Sainiemi L, Peltonen A, Tittonen I and Franssila S 2010 Dry fabrication of microdevices by the combination of focused ion beam and cryogenic deep reactive ion etching J. Micromech. Microeng. 20 085009 [12] Lehrer C, Frey L, Petersen S, Mizutani M, Takai M and Ryssel H 2000 Defects and gallium-contamination during focused ion beam micro machining 2000 Int. Conf. on Ion Implantation Technology pp 695–8 [13] Prewett P O, Anthony C J, Cheneler D and Ward M C L 2008 Stress-induced curvature of focused ion beam fabricated microcantilevers Micro Nano Lett. 3 25–8 [14] Anthony C J, Torricelli G, Prewett P D, Cheneler D, Binns C and Sabouri A 2011 Effect of focused ion beam milling on microcantilever loss J. Micromech. Microeng. 21 045031 [15] Pan A, Wang Y L, Wu C S, Chen C D and Liu N W 2005 Effects of focused gallium ion-beam implantation on properties of nanochannels on silicon-on-insulator substrates J. Vac. Sci. Technol. B 23 2288–91 [16] Winston D et al 2009 Scanning-helium-ion-beam lithography with hydrogen silsesquioxane resist J. Vac. Sci. Technol. B 27 2702–606 [17] Hermersdorf M, Hibert C, Grogg D and Ionescu A M 2011 High aspect ratio sub-micron trenches on silicon-on-insulator and bulk silicon Microelectron. Eng. 88 2556–8 [18] Paul J, Riedel S, Rudolph M, Wege S, Czernohorsky M, Sundqvist J, Hohle C and Beyer V 2012 Introduction of zirconium oxide in a hardmask concept for highly selective patterning of scaled high aspect ratio trenches in silicon Thin Solid Films 520 4527–31 [19] Koh M, Sawara S, Shinada T, Goto T, Ando Y and Ohdomari I 2000 Simple nanostructuring on silicon surface by means of focused beam patterning and wet etching Appl. Surf. Sci. 162 599–603 [20] McHargue C J, Hunn J D, Joslin D L, Alves E, Da Silva M F and Soares J C 1997 Etching of amorphous Al2 O3 produced by ion implantation Nucl. Instrum. Methods Phys. Res. B 127/128 596–8 [21] Ziegler J F, Biersack J P and Littmark U 1985 The Stopping and Range of Ions in Solids (New York: Pergamon Press)

The authors sincerely acknowledge funding for the EMPR REG project (No. 605048) and Academy of Finland project (No. 13140009). The authors also acknowledge the provision of facilities and technical support by Aalto University at Micronova Nanofabrication Centre. Kari Iltanen acknowledges the support of the Jenny and Antti Wihuri Foundation. Nikolai Chekurov acknowledges Postdoctoral Fellowship in the honor of the physicist Ragnar Holm for financial support. The authors thank Osmo V¨ansk¨a for figure enhancement.

References [1] Bohg A 1971 Ethylene diamine-pyrocatechol-water mixture shows etching anomaly in boron-doped silicon J. Electrochem. Soc. 118 401–2 [2] Steinsland E, Nese M, Hanneborg A, Bernstein R W, Sandmo H and Kittilsland G 1996 Boron etch-stop in TMAH solutions Sensors Actuators A 54 728–32 [3] Fischer A C, Belova L M, Rikers Y G M, Malm B G, Radamson H H, Kolahdouz M, Gylfason K B, Stemme G and Niklaus F 2012 3D free-form patterning of silicon by ion implantation, silicon deposition, and selective silicon etching Adv. Funct. Mater. 22 4004–8 [4] Chekurov N, Grigoras K, Peltonen A, Franssila S and Tittonen I 2009 The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching Nanotechnology 20 065307 [5] Henry M D, Shearn M J, Chhim B and Scherer A 2010 Ga+ beam lithography for nanoscale silicon reactive ion etching Nanotechnology 21 245303 [6] La Marche P H, Levi-Setti R and Wang Y L 1983 Focused ion beam microlithography using an etch-stop process in gallium-doped silicon J. Vac. Sci. Technol. B 1 1056–8 [7] Brugger J, Beljakovic G, Despont M, De Rooij N F and Vettiger P 1997 Silicon micro/nanomechanical device fabrication based on focused ion beam surface modification and KOH etching Microelectron. Eng. 35 401–4 [8] Kawasegi N, Morita N, Yamada S, Takano N, Oyama T, Ashida K, Taniguchi J and Miyamoto I 2006 Three-dimensional nanofabrication utilizing selective etching of silicon induced by focused ion beam irradiation JSME Int. J. C 49 583–9

6