Bulk micromachined dielectric tunable optical filter

0 downloads 0 Views 647KB Size Report
The VCSEL consists of a tunable SiO2/TiO2 mirror membrane and ... from the ICP-CVD can be used for anti- reflection coating, passivation, etching masks, ...
See discussions, stats, and author profiles for this publication at: http://www.researchgate.net/publication/253900665

Bulk micromachined dielectric tunable optical filter realized with inductively coupled plasma chemical vapour deposition ARTICLE in PROCEEDINGS OF SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING · SEPTEMBER 2008 Impact Factor: 0.2 · DOI: 10.1117/12.797755

CITATIONS

DOWNLOADS

VIEWS

2

10

28

6 AUTHORS, INCLUDING: Peter Meissner Technical University Darmstadt 186 PUBLICATIONS 1,176 CITATIONS SEE PROFILE

Available from: Peter Meissner Retrieved on: 26 June 2015

Bulk- micromachined dielectric tunable optical filter realized with inductively coupled plasma chemical vapour deposition Sandro Jattaa , Klaus Haberlea , Kuldip Singhb , Benjamin Koegela , Hubert Halbrittera and Peter Meissnera a Technical

University Darmstadt, Optical Telecommunication, Merckstrasse 25, Germany, 64283 Darmstadt b Optoelectronic Devices Group, Central Electronics Research Institut (CEERI), India, ABSTRACT

We present the characterization of silicon oxide (SiOx ) and silicon nitride (SiNx ) films deposited by inductively coupled plasma chemical vapour deposition (ICP-CVD) at low temperature (< 100◦ C). A tunable optical FabryP´erot (FP) -filter operating at a wavelength around 1.5µm is realized. It is hybridly assembled with two dielectric distributed Bragg reflectors (DBR). One of the DBR- mirrors is intentionally curved using the intrinsic stress inside the films. Our aim is the development of a tunable surface micromachined VCSEL with a curved dielectric mirror. Therefore ICP-CVD with a low deposition temperature is used for SiOx and SiNx films. As a first step the realization of a tunable bulk- mircomachined optical FP- filter is presented. The refractive index, deposition rate, stress and etching rate in buffered hydrofluoric acid (BHF) of thin dielectric films ( 1.0 the film is more dense if we suppose that the density for SiNx is proportional to refractive index. This threshold behavior is also in the film thickness uniformity (that is not presented here). The thickness uniformity for SiH4 /N2 > 1.0 is ±2.0%. For SiH4 /N2 < 1.0 it increases to a maximum around ±4.0%. The refractive index uniformity is constant with nuni ≈ ±0.006. For the application it is recommandable to adjust the a gas flow ratio of SiH4 /N2 > 1.0. In the figures 4 a and b the influence of the gas flow ratio SiH4 /N2 O on SiOx - films is depicted. Every film characteristic has a linear dependence. By increasing the gas flow ratio SiH4 /N2 O from 0.2 to 0.5 the refractive index decreases from around 1.48 to 1.44 and the deposition rate increases. The increase of the gas flow ratio for SiOx has the opposite influence compared with the SiNx - films. The relative etching rate in BHF 50:1 is between 3 and 5 and the stress becomes more compressive. Although the refractive index decreases and the deposition rate increase we can watch that the etching rate is constant. The reason for this correlation is unclear and should be investigated further. For the application of SiOx the ratio of SiH4 /N2 O should be between 0.25 and 0.45 because the refractive index is in the range of thermally grown SiO2 .

´ 3. DBR AND TUNABLE OPTICAL FABRY- PEROT FILTER It is necessary for a stable optical Fabry- P´erot resonator that at least one of the mirrors has a curvature when the other mirror is flat.3, 22 The reason is that the optical field described by a Gaussian distribution has to correspond with both mirrors. The optical plane wave at the beam waist of the Gaussian field has to match to the flat mirror of the FP- resonator. If this condition is not fulfilled, attenuation occurs in the transmission and additional sidemodes exists beside the fundamental modes of the resonator.

3.1 DBR - characteristics Table 3. Process parameters of the dielectric single films and their characteristics that are used for the DBR- mirrors of the FP- Filter.

SiOx (flat mirror)

SiNx (flat mirror)

SiOx (concave mirror)

SiNx (concave mirror)

ICP

500 W

200 W

500 W

200 W

RF

0W

0W

0W

0W

pressure

5.0 mTorr

7.0 mTorr

4.5 mTorr

8.0 mTorr

temperature

80◦ C

80◦ C

40◦ C

40◦ C

SiH4

3.0 sccm

7.6 sccm

4.0 sccm

7.0 sccm

N2 O

12.0 sccm

0 sccm

12.0 sccm

0 sccm

N2

0 sccm

7.0 sccm

0 sccm

5.8 sccm

R.I. @ 632 nm deposition rate

1.466 189 ˚ A/min

1.961 150 ˚ A/min

1.469 250 ˚ A/min

1.964 144 ˚ A/min

stress

+27 MPa

-25 MPa

+10 MPa

-127 MPa

Two DBRs from ICP-CVD are produced for the realization of a FP- resonator. Both DBR are deposited on GaAs- wafers. The process parameters and the results from the single films that are used for the multifilmstructure are listed in the table 3. Because the DBR is produced for a central wavelength (CWL) at 1.55µm the

Proc. of SPIE Vol. 7101 71011D-7

Figure 5. Transmission and reflection spectrum of the flat DBR mirror from 1300 nm - 1700 nm. The DBR has a stop bandwidth ∆λ ≈ 340 nm and a CWL at 1.53µm.

refractive index of the single films at 1.55µm is necessary. These values are calculated from an equation based on Cauchy material model23 and from the refractive index at 632 nm. The DBR for the flat- mirror consists of 11.0 SiNx -SiOx periods (22 films) on a GaAs- substrate. The backside of the GaAs- substrate is covered with an antireflection coating consisting of SiOx Ny and deposited by the ICP-CVD, too. The second DBR- mirror for the concave membrane consists of 11.5 SiNx - SiOx periods (23 films). The measurement of the wavelength characteristics of the DBR mirrors are based on the measurement setup described in ref.[ 11]. For the measurement of the reflection the light from a broadband source is transmitted through single mode fibers (SMF) and through a 3dB coupler and is converted with a lens system in a collimated beam. The light is reflected back by the DBR into the lens system and transmitted again through the 3dBcoupler. At the other output of the 3dB- coupler the reflected light is measured with an optical spectrum analyzer (OSA). To determine the wavelength characteristic the spectrum of the reflection from the DBR is normalized to the reflected light from a reference mirror consisting of gold. The measurement of the transmission has an additional lens system to receive the light influenced by the DBR and then normalized to the light from the source. The spectrum of the transmission and reflection from the DBR is depicted in figure 5. We define the CWL from the DBR at the minimum of the transmission. The CWL from the flat mirror is around 1.53µm and differs around 40 nm from the CWL of the other DBR that has the CWL at 1.57µm. Because the measurement equipment for the reflection and the transmission of the DBR can only measure till 1700 nm we determine the half of the stop bandwidth. We define the stop bandwidth as the wavelength range from the maximum reflectivity located at the minimum transmission to the 3dB attenuation of the reflectivity. The stop bandwidth from the both mirrors is ∆λ ≈ 340 nm. The described measurement setup is appropriate to determine the spectrum of the transmission and reflection of the DBR. But it is not appropriate to measure the absolute reflectivity from the DBR. We can only estimate that both DBRs have a reflectivity that is as high as the reflectivity of gold with > 98%.24

3.2 Optical tunable filter The realization of the tunable mirror membrane from the DBR deposited on GaAs starts with an evaporation of a gold- chromium (Au/Cr) metallization followed by dry etching of the DBR with SF6 to form the structure of the membrane and the conducting paths for the heating current. The concave bending of the membrane is enabled by wet etching the GaAs- substrate from the backside. This bending toward the GaAs- substrate is caused by the mechanical compressive stress inside the dielectric film and the mechanical tensile stress +890MPa

Proc. of SPIE Vol. 7101 71011D-8

F (a)

(b) Figure 6. Confocal microscopy profile of the membrane.

of the Au/Cr- metallization. In figure 6 the measurement of the mirror membrane with a confocal microscope is presented. The mirror has a diameter of around 960µm and is clamped by four beams with a width of 230µm and a length of around 290µm. The membrane bending results in an air-gap Lair gap of around 42µm. In combination with the second flat mirror the air gap of the membrane corresponds with the length of the cavity Lcavity of the FP- filter (Lcavity ≈ Lair gap ). The radius of curvature (ROC) of the membrane is 11.9mm. With the estimation of ROC and Lair gap and by using the equation [2]1, 3 it is possible to calculate the beam waist diameter 2 · w0 of the optical Gaussian field that has to be formed an appropriate lens system.   λ 2 · w0 = 2 · Lcavity · (ROC − Lcavity ) (2) π Figure 7 depicts the transmission characteristic of the filter. The source for this measurement was the amplified spontaneous emission (ASE) of an erbium- doped fiber amplifier (EDFA) that is transmitted through SMF to the lens system. The light converted in a Gaussian beam passing through the filter and entering another lens system that is received by an OSA. The filter has a free spectral range (FSR) of 29 nm and a full width half maximum (FWHM) of around 0.16 nm. So, the finesse is 181. The insertion loss of -10 dB is too high. The main reason for the high insertion loss and the low finesse is a misalignment of the lens- system to the optical filter. The consequence is that additional side modes exists besides the fundamental mode. The shifting (tuning) of resonance peaks of the filter is realized by an current flowing through the Au/Cr conducting path. That causes a heating of the beams so that the beams expand. The cavity length changes and affects the resonance condition of the filter. That causes a tuning of the resonance peaks. The ratio between the peak wavelength from one resonance peak to the other one is linear and need a maximum DC- tuning power of 180mW. Another FP- Filter operating at wavelength of 1.5µm has a FSR=33.5nm, FWHM=0.1nm and a finesse=335. The insertion loss is around -8dB. The filter also consists of a dielectric DBR- mirrors and has nearly the same assembly. But the tunable mirror membrane is actuated by electrostatic forces.3 The presented filter has nearly the same characteristic like the electrothermally actuated filter.

4. CONCLUSION In the foreground of our presentation is the investigation of the dielectric films SiOx and SiNx deposited by an inductively coupled plasma chemical vapour deposition (ICP-CVD) at low temperature. The investigation comprises the influence of deposition temperature below 100◦ C and gas flow ratio of the precursors SiH4 and N2

Proc. of SPIE Vol. 7101 71011D-9

(a)

(b)

Figure 7. Transmission of the optical tunable dielectric FP- filter (a) and the wavelength of one of the resonance peaks to the applied electrical power (b).

for SiNx and SiH4 and N2 O for SiOx on the film characteristics. The investigated film characteristics are the refractive index, deposition rate, mechanical stress and etching rate. The knowledge of these film characteristics are required for the realization of distributed Bragg reflectors (DBR) that are used for tunable mirror membranes. These mirror- membranes can be used for tunable MOEMS- devices like tunable optical filters or VCSELs. The development of a tunable surface mircomachined VCSEL is our final aim. A tunable mirror membrane for an optical filter consisting of dielectric films from ICP-CVD is described and is the first step of the development of the tunable surface mircomachined VCSEL. The characteristic of the filter can be improved, but the consequential next step is the realization of a surface micromachined tunable optical filter. The advantage of the ICP-CVD for our application and the measurement equipment for the investigation of single films is described. A good film quality at low deposition temperatures is an advantage for the deposition on temperature sensitive materials or devices like a VCSEL. The film quality is nearly comparable with other deposition technologies like ECR-CVD or a deposition with a PECVD at 300◦ C. Especially the process parameter from the ICP-CVD denoted as RF has an advantageous influence on the film quality. The etching rate as a measure of film quality can be reduced to a value that only differs in a factor four to the etching rate of thermally grown SiO2 . Whereas the deposition temperature in the investigated temperature range from 30◦ C to 100◦ C has nearly no influence on film characteristics, a wide range of the film characteristics can be engineered by varying the gas flow ratio.

REFERENCES [1] P. Tayebati, P. Wang, D. Vakhshoori, C.-C. Lu, M. Azimi, and R. Sacks, “Half-Symmetric Cavity Tunable Microelectromechanical VCSEL with Single Spatial Mode,” IEEE Photonics Technology Letters 10, No. 12, pp. 1679–1681, Dezember 1998. [2] B. Koegel, H. Halbritter, S.Jatta, M.Maute, G. Boehm, M.-C. Aman, M.Lackner, M.Schwarzott, F.Winter, and P. Meissner, “Simultaneous Spectroscopy of NH3 and CO using a > 50nm Continuously Tunable MEMS-VCSEL,” IEEE Sensors Journal 7, No.8, pp. 1483–1489, November 2007. [3] M. Aziz, J. Pfeiffer, M. Wohlfahrt, C. Luber, S. Wu, and P. Meissner, “A New and Simple Concept of tunable Two- Chip Microcavities for Filter Applications in (WDM) Systems,” IEEE Photonics Technology Letters 12, No. 11, pp. 1522–1524, November 2000. [4] F. Riemenschneider, M. Aziz, H. Halbritter, I. Sagnes, and P. Meissner, “Low- Cost Electrothermmally tunable Optical Microcavities Based on GaAs,” IEEE Photonics Technology Letters 14, No. 11, pp. 501– 505, November 2002.

Proc. of SPIE Vol. 7101 71011D-10

[5] H. Halbritter, M. Aziz, F. Riemenschneider, P. Meissner, M. Strassner, A. Tarraf, and O. Daga, “Performance evaluation of WDM components based on tunable dielectric membrane technology,” IEE Proc.Circuits Devices Syst. 150, No. 6, pp. 501–505, Dezember 2003. [6] H. Halbritter, F. Riemenschneider, S. Syguda, C. Dhanavantri, M. Strassner, A. Tarraf, B. Singh, I. Sagnes, and P. Meissner, “Tunable and wavelength selective pin photodiode,” Electronics Letters 40, No. 6, pp. 501– 505, March 2004. [7] F. Riemenschneider, M. Maute, H. Halbritter, G. Boehm, M.-C. Aman, and P. Meissner, “Continuously Tunable Long-Wavelength MEMS- VCSEL with over 40nm Tuning Range,” IEEE Photonics Technology Letters 16, No. 10, pp. 2212–2214, October 2004. [8] B. Koegel, M. Maute, H. Halbritter, F. Riemenschneider, G. Boehm, M.-C. Aman, and P. Meissner, “Longwavelength MEMS tunable vertical-cavity surface-emitting lasers with high sidemode suppression,” Journal of Optics A: Pure and Applied Optics 8, pp. S370–S376, 2006. [9] C. Chang-Hasnain, “Tunable VCSEL,” IEEE Journal on selected topics in quantum electronics 6, No.6, pp. 978–987, 2000. [10] A. Kersey, M. Davis, H. Patrick, and M. LeBlanc, “Fiber Grating Sensors,” Journal of Lightwave Technology 15, No.8, pp. 1442–1463, August 1997. [11] K. Petermann and E. Voges, Optische Kommunikationstechnik: Handbuch fuer Wissenschaft und Industrie, Springer, Berlin, Juni 2002. [12] H. Zhou, C. Sim, A. Glidle, C. Hodson, R. Kinsey, and C. Wilkinson, “Properties of Silicon Nitride by RoomTemperature Inductively Coupled Plasma Deposition,” Plasma Processes and Polymers: 16th International Symposium on Plasma Chemistry; Wiley- VCH Verlag GmbH and Co , pp. 77–86, Italy, 22-27 June, 2003. [13] R. Williams, Modern GaAs Processing Methods, Artech House, Boston London, 1990. [14] A. Stoffel, A. Kovacs, W. Kronast, and B. Mueller, “LPCVD against PECVD for micromechanical applications,” J. Micromech. Microeng. 6, pp. 1–13, 1996. [15] S. Nguyen, “Plasma assisted chemical vapor deposited thin flims for microelectronic applications,” J. Vac. Sci. Technol. B 4 5, pp. 1159–1167, 1986. [16] K. Seshan, Handbook of thin-film deposition processes and techniques - Principles, methods, equipment and applications, Noyes Publications / William Andrew Publishing, Norwisch, New York, U.S.A., 2002 (second edition). [17] A. Tarraf, J. Daleiden, S. Irmer, D.Prasai, and H. Hillmer, “Stress investiagtion of PECVD dielectric layers for advanced optical MEMS,” J. Micromech. Microeng. 14, pp. 317–323, 2004. [18] K. Williams and R. Muller, “Etch rates for micromachining processing,” Journal of microelectromechanical systems 5, No. 4, pp. 256–269, 1996. [19] K. Williams, K. Gupta, and M.Wasilik, “Etch rates for micromachining processing - part II,” Journal of microelectromechanical systems 12, No. 6, pp. 761–778, 2003. [20] W. Pliskin, “Comparison of properties of dielectric films deposited by various methods,” J. Vac. Sci. Technol. 14, No. 5, pp. 1064–1080, 1977. [21] K. Sundaram, R. Sah, H. Baumann, K. Balachandran, and R. Todi, “Wet etching studies of silicon nitride thin films deposited by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition,” Microelectronic Engineering 70, pp. 109–114, 2003. [22] H.Kogelnik and T.Li, “Laser Beams and Resonators,” Proceedings of the IEEE 54, No. 10, pp. 1312–1329, 1966. [23] D. Hohlfeld and H. Zappe, “An all-dielectric tunable optical filter based on thermo-optic effect,” Journal of optics A: Pure and applied Optics 6, pp. 504–511, 2004. [24] P. Johnson and R. Christy, “Optical Constants of the Noble metals,” Physical Review B 6, No. 12, pp. 4370– 4379, 1972.

Proc. of SPIE Vol. 7101 71011D-11