Chapter 11

15 downloads 0 Views 10MB Size Report
2.6.1 Model for Symbol Generation, Pulse Shaping, and Match Filtering 20. 2.6.2 Model for ...... where n is the sample number, and ϕ is an arbitrary phase shift. The samples in ...... another draw back to these conventional loops. ...... Knowing that the signal contains part or all (if we are lucky) of the preamble or amble ...
RF Architectures & Digital Signal Processing Aspects of Digital Wireless Transceivers

Mohamed K. Nezami, Ph.D., KI4CUA © 2003 I

[email protected]

RF Architectures and Digital Signal Processing Aspects of Digital Wireless Transceivers Mohamed K. Nezami, Ph.D., KI4CUA [email protected] Chapter 1 : RF Architectures and Digital Signal Processing Aspects of Digital Wireless Transceivers 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 1.9 1.10 1.11 1.12 1.13 1.14 1.15 1.16

Heterodyne and Homodyne Receiver Architectures 2 Image Rejection Receiver Architectures 6 Principle of Digital Down Converter and Sample Decimation 8 Alternatives to Direct Conversion Receivers 12 Quadrature Mismatch and Image Rejection 13 Impact of the Quadrature Imbalances on the Receiver Bit Error Rate 16 Time Variant and static (time invariant) DC Offsets 17 DC Offset Removal Algorithms 18 Tone-Aided Quadrature Imbalance Estimation and Compensation 19 Frequency Domain Quadrature Imbalance Estimation and Compensation 20 Preambles Quadrature Imbalance Estimation and Compensation 25 Quadrature Imbalance Estimation and Correction in Image Rejection Receiver 28 Digital Transmitter Architectures 30 Quadrature Imbalances in Digital Transmitters 32 Multi-Carrier Transmitter Architectures 37 References 41

Chapter 2 : Introduction to Coherent Demodulation 2.1 2.2

Order of Synchronization in Digital Receivers 2 Impact of Symbol Timing Coherency on Demodulation

II

3

[email protected]

2.3 2.4 2.5 2.6 2.6.1 2.6.2 2.6.3 2.7

Illustration Example : Synchronization presence form IF to baseband Impact of Carrier Coherency on Demodulation 5 Classification Based on Implementation Approaches 13 Modeling Channel Impairments and Synchronization Errors 18 Model for Symbol Generation, Pulse Shaping, and Match Filtering 20 Model for Intentional Synchronization Error Insertion 26 Model for Additive White Gaussian Noise Channel 27 References 30

4

Chapter 3: Feedback Carrier Synchronization Systems 3.1 3.2 3.2.1 3.2.2 3.2.3 3.2.4 3.3 3.4 3.5 3.6 3.7 3.8 3.8.1 3.9 3.10 3.11 3.11.1 3.11.2 3.11.3 3.12 3.13 3.14 3.14.1 3.14.2 3.14.3 3.15 3.16

Introduction to Feedback Synchronization 2 Principle of Analog Phase Locked Loops 6 Second order phase locked loop 7 Second Order Loop Dynamic Behavior 9 Digital phase lock loop Principle 11 Loop Filter Digital Implementation 13 Illustration Example: Simulated PLL in Fading Channels 15 BPSK Carrier Recovery using Squaring Loops 20 Principle QPSK Carrier Recovery using Quadrupling Loops 22 Minimum-Shift Keying (MSK) Carrier Recovery using Squaring Loops Carrier Recovery using Costas Loops26 Digital Costas BPSK Carrier Recovery Loop28 Digital Costas QPSK Carrier Recovery Loop 30 Digital Tanlock carrier recovery loops 32 Carrier Phase Lock Indicators 38 Automatic Frequency Control Loops (AFC) 39 Discrete Fourier Transform based AFC 41 Dual BandPass Filter AFC method 42 Dual NCO AFC System 43 Combining AFC with carrier phase tracking Loops 46 Carrier Frequency Lock Indicators 46 Carrier Frequency Acquisition and Phase Tracking Modem Example Acquisition Loop 48 Carrier Tracking Loop 50 Carrier Tracking Digital Loop Filter Design 51 Dealing with carrier phase ambiguity 55 Reference 57

23

47

Chapter 4: Feedback Symbol Timing Synchronization and Automatic Gain Control 4.1.1 Mid-Phase Integration Symbol Timing Detector 2 4.1.2 Mid-phase integration Symbol Timing Detector for DBPSK signals 4.1.3 Early-Late Gate Symbol Timing Detector 5

III

4

[email protected]

4.1.4 4.1.5 4.1.6 4.2 4.3 4.4 4.5 4.6 4.7 4.7.1 4.7.2 4.7.3 4.8

Muller-Muller (M&M) Symbol Timing Detector 8 Gardner BPSK Symbol Timing Detector 10 Gardner QPSK Symbol Timing Detector 11 Timing Correction Interpolator Filters 13 Symbol Timing Loop Filter Design 18 Symbol timing lock Indicator 19 Preamble-Aided Symbol Timing Synchronization 20 Symbol Timing Synchronization using Unique Word Automatic Gain Control (AGC) Loops 23 Linear Signal Magnitude Based AGC Algorithm 23 Log Signal Magnitude Based AGC Algorithm 26 Exponential Based AGC Algorithm 28 REFERENCES 29

21

Chapter 5: Introduction to Feedorward Synchronization 5.1 5.2 5.3 5.4 5.5 5.6 5.7 5.8

Feedforward Symbol Timing Recovery 2 Feedforward Carrier Frequency Offset Recovery 2 Feedforward Carrier Phase Recovery 3 Maximum Likelihood Principle 3 Maximum Likelihood Estimation Lower Bounds 7 Synchronization Error Impact on Receiver BER Performance 10 Equivalence Nature Between FF and FB Synchronization Systems 16 References 17

Chapter 6: Feedforward Symbol Timing Synchronization Algorithms and Mitigation of Fading Impact on Receiver 6.1 ML Feedforward Synchronization Principle 3 6.2 Variances of Feedforward Symbol Timing Estimator Output 5 6.3 ML-Based Symbol Timing Estimation Algorithms 5 6.4 Data and Decision Aided Symbol Timing Estimation Algorithms 6 6.5 Spectral Line NDA Symbol Timing Estimation Algorithm 8 6.6 DFT-Based NDA Symbol Timing Estimation 10 6.6.1 Removing Dependency on Frequency Offset 12 6.7 Impact of Nonlinearity Type on Feedforward Symbol Timing Estimation 13 6.8 Impact of Roll-off Factor on Feedforward Symbol Timing Estimation 16 6.9 Feedforward Symbol Timing Correction using Interpolators 18 6.10 Performance of NDA Symbol Timing Estimation in AWGN 22 6.11 Performance of NDA Timing Algorithms in Presence of Frequency Offset 25 6.12 Impact of Fading Channels on Feedforward Symbol Timing synchronization 26 6.12.1 Flat Fading Channel Model 26 6.12.2 Impact of LCR and AFD on symbol timing estimates 32 6.13 Frequency Selective Mobile Channel Model 42

IV

[email protected]

6.14 Impact of Fading on Feedforward NDA Symbol Timing Estimation 48 6.15 Schemes for Improving NDA Symbol Timing in Fading Channels 50 6.16 Schemes for Improving NDA Symbol Timing in Fading Channels 54 6.16.1 Optimizing the Observation Interval Based on Fading Frequency 55 6.16.2 Overlapping Observation Intervals 56 6.16.3 Estimate Post Processing (SPP) 57 6.16.4 Fly wheeling through Fading Durations 58 6.16.4.1 Performance in Flat Fading Channels 59 6.16.4.2 Performance in Selective Fading Channels 60 6.16.4.3 Performance in Rician Fading Channels 62 6.17 Illustrating Example: Non-Data Aided Symbol Timing offset estimation and correction 63 6.18 References 68 Chapter 7: Feedforward Carrier Frequency and Carrier Phase Offsets Estimation Algorithms 7.1 Introduction to Feedforward Carrier Recovery 4 7.2 Problems Associated with Feedback Carrier Recovery Schemes 5 7.3 Principle of Open Loop Feedforward Carrier Recovery 7 7.4 Estimating the Error Variance and Lower bounds 8 7.5 Feedforward Frequency Estimation Algorithms 9 7.5.1 The M-Power NDA Frequency Offset Estimation Algorithm 9 7.5.2 Viterbi NDA Frequency Offset Estimation Algorithm 11 7.5.3 DFT-based NDA Frequency Offset Estimation Algorithm 12 7.5.4 Window Enhanced DFT-based NDA Frequency Estimation Algorithm 16 7.5.5 Symbol Auto-Correlation Based Frequency Estimation Algorithms 18 7.5.7 Frequency Offset Estimation Using Adaptive Digital Filter 23 7.5.8 Frequency Estimation using the Linear Least Square Curve fit method 23 7.6 Feedforward Phase Estimation Algorithms 25 7.6.1 The M-Power NDA Carrier Phase Estimation Algorithm 25 7.6.2 Viterbi NDA Carrier Phase Estimation Algorithm 27 7.7 Extension of M-Power NDA Phase Carrier Estimator to QAM 33 7.7.1 Removing MQAM PSK Modulations 33 7.7.2 Simulated M-Power 16QAM Carrier Phase Estimation Performance 35 7.8 Proposed Scheme for NDA Carrier Recovery for 16-QAM Modulations 38 7.9 Effects of Frequency Residual on Phase Estimation 40 7.10 Phase Ambiguity in M-Power Carrier Phase Estimation 43 7.11 Cycle Slipping Issues 43 7.12 Impact of fading on M-power NDA FF phase estimators 44 7.12.1 Estimated Phase Error Variance in AWGN Channels 44 7.12.2 Estimated Phase Error Variance in Fading Channels 47 7.13 Illustration Example: Computer Simulation of Data-aided Carrier Frequency Offset Estimation Algorithm 49 7.14 Illustrative Example: Computer Simulated Data-aided Carrier Phase Offset Estimation Algorithm 58

V

[email protected]

7.15 Illustrating Example: Non-Data Aided Carrier Frequency Offset Estimation Algorithms 59 7.16 Illustration Example: NDA Carrier Phase Estimation Algorithms 64 7.17 Illustrating Example: Phase Estimation Ambiguity Estimation 68 7.18 Illustrating Example: Carrier Frequency Offset Estimation to CPFSK signals 70 7.19 Illustrating Example: Carrier Frequency Offset Estimation to CPFSK signals 71 7.20 Illustrating Example: Diversity Combining of Synchronization Parameters 73 7.21 References 75 Chapter 8 : Carrier Acquisition and Carrier Tracking for Burst TDMA Satellite and Mobile Radio Receivers 8.1 8.2 8.3 8.4 8.4.1 8.4.2 8.4.3 8.4.4 8.5 8.5.1 8.5.2 8.5.3 8.6 8.6.1 8.6.2 8.6.3 8.7 8.7.1 8.7.2 8.7.3 8.7.4 8.7.5 8.8 8.9 8.10 8.10.1 8.10.2 8.10.3 8.11 8.11.1 8.11.2 8.11.3

Preamble-based Carrier Recovery Techniques for Satellite Receivers Sources of Carrier Frequency Offset in Satellite Systems 4 Impact of Satellite Channel on Carrier Recovery 5 Conventional Burst Satellite Carrier Acquisition and Tracking 6 Frequency Offset Error detector 7 Phase Error Detector 8 Loop Filter Design 13 Simulations Performance 14 Estimating and Tracking Carriers with Doppler Rate of Change 17 Third Order Loops Feedback Doppler Rate of Change Estimator 17 Feedforward Doppler Rate of Change Estimator 18 Least Square Based Doppler Rate of Change Estimator 19 NDA Feedback Carrier Recovery Scheme 19 Details of the Acquisition and Tracking Algorithm 22 Performance Studies 23 Adaptive State Machine Based Carrier Recovery Scheme 27 DFT-aided Carrier Recovery Scheme 30 Details of the Algorithm 30 Coarse Acquisition Loop (DFT-aided Open Loop) 33 DFT Peak Search Refinement 36 DFT Based Fine Acquisition Loop (FF Loop) 38 Simulations Performance 38 NDA Extension of the DFT-aided Open Loop Algorithm 44 Dual-Chirp Tone Aided Carrier Acquisition Scheme and Tracking 49 Practical DSP Implementation Issues 51 Complex Magnitude Approximation 51 Complex Division Approximation 52 Complex ATAN Approximation 53 Illustrating Example: Carrier, symbol timing, and channel tracking 57 Illustrating Example: Carrier phase racking 57 Illustrating Example: Channel Gain Tracking (AGC) 61 Illustrating Example: Symbol Timing Tracking 61

VI

3

[email protected]

8.12

Reference

62

Chapter 9: Synchronization in Spread Spectrum Communication Systems 9.1 9.2 9.3 9.4 9.5 9.6 9.7 9.8 9.9 9.10

Principle of DS-SS PN Code Acquisition and Tracking 1 PN-Code Acquisition Algorithm 4 Probability of Acquisition Detection and Probability False Alarm 5 PN-Code Tracking algorithm 7 Tau-Dither PN Tracking Loop 14 Feedforward PN Code Synchronization Algorithm 15 Pilot-aided PN Code Synchronization Algorithm 18 Decision-Directed PN Code Synchronization Algorithm 20 Frequency-Hopped Speared Spectrum Synchronization 21 References 27

Chapter 10: Synchronization in Orthogonal Frequency Division Multiplexing (OFDM) Systems 10.1 Introduction 1 10.2 OFDM Modulator 4 10.3 OFDM Demodulator 5 10.4 Guard Interval Insertion 7 10.5 OFDM System Parameter Design 8 10.6 Impact of Frequency Offset Synchronization Errors on OFDM Reception 12 10.7 Impact of Symbol Timing Synchronization on OFDM Reception 16 10.8 Impact of Sampling Time Synchronization Errors on OFDM Reception 17 10.9 SNR Degradation Due to Carrier Frequency Offsets 18 10.10 SNR Degradation Due to Sampling Frequency Offsets 19 10.11 SNR Degradation due to Carrier Phase Noise Offsets 20 10.12 OFDM Synchronization Algorithms 24 10.13 Synchronization Algorithm using Cyclic Prefix 25 10.13.1 Maximum Likelihood Synchronization using Cyclic Prefix 26 10.13.2 Another Variant of Maximum Likelihood Synchronization Scheme 29 10.14 Pilot-Aided OFDM Synchronization Algorithms 30 10.15 Dual Pilot Tone Synchronization Method 34 10.16 Compensation of Carrier Frequency Offset 35 10.17 Illustrative Example 36 10.18 Reference 47 Chapter 11: Techniques for Acquiring and Tracking Signals with Efficient Modulations 11. 1 Concatenated Turbo Coded Signals 2 11.1.1 Turbo Encoder 3 11.1.2 Turbo Decoder 3

VII

[email protected]

11.2 11.2.1 11.2.2 11.2.3 11.2.4 11.2.5 11.3 11.3.1 11.3.2 11.3.3 11.3.4 11.4 11.5 11.6 11.7 11.8 11.8.1 11.9 11.10

Carrier and Symbol Timing Acquisition and Tracking of Turbo Coded Signals 5 Tentative Decision-aided Turbo Coded Signal Carrier Phase Tracking 5 Non-Data-Aided Turbo Coded Tracking 7 Pilot-Aided Tracking 8 Iterative Turbo Coded Channel Gain and Noise Variance Estimation 14 Extrinsic Information-Based Turbo Coded Signal Carrier and symbol Tracking 17 Continuous Phase Modulated Signals 21 Acquiring CPM Signals Using MSK Preamble 23 Principle of DFT-Based MSK Preamble Acquisition26 Interpreting the DFT Complex Preamble Spectrum 28 DFT Preamble SNR Performance 28 Preamble Acquisition Estimates Accuracy and Estimates Lower Bounds 31 Preamble Tone Estimate Refinement Using DFT Bin Interpolation 33 Preamble Tone Estimate Refinement Using DFT Bin Interpolation 35 Preamble Probability of Detection and Probability of False Alarm 36 Tracking of Continuous Phase Modulated (CPM) Signals 40 CPM Tracking Loop Parameter Design 43 Acquiring and Tracking Trellis-Coded MPSK Signals 45 References: 53

VIII

[email protected]

Chapter 1 RF Architectures and Digital Signal Processing Aspects of Digital Wireless Transceivers The design and manufacturing of wireless radio frequency (RF) transceivers has developed rapidly in recent ten yeas due to rapid development of RF integrated circuits and the evolution of high-speed digital signal processors (DSP). Such high speed signal processors, in conjunction with the development of high resolution analog to digital converters and digital to analog converters, has made it possible for RF designers to digitize higher intermediate frequencies, thus reducing the RF section and enhancing the overall performance of the RF section. Figure 1-1 illustrates a block diagram of a modern digital wireless receiver. Here the RF analog section is limited to a single front end, while most of the baseband and IF processing is carried out digitally. This chapter will detail modern methods involved in the RF to IF section, while the rest of the book is dedicated to digital signal processing and the decoding of the transmitted data.

In this chapter, we detail important issues of modern software-defined wireless transceiver RF system architectures and the signal processing algorithms involved in the RF t IF section. The baseband demodulation and synchronization in particular are covered in chapters 2 through 11. Figure 1-2 lists both floating point and fixed point DSP chips currently employed in wireless receivers [19]. The fixed-point processors operate at higher processing rates than floating point processors; however, these higher rates are at the expense of complexity in programming. The Texas Instrument current C64x DSP chip products are being produced with special purpose instructions for complex decoding algorithms, such as Viterbi and Turbo decoder, which greatly reduce complexity and make the design of wireless receivers easier.

Antenna

RF-to-IF section Antenna interface module

IF-to-Baseband section

IF section

• Low Noise Amplifier • Band preselection filters • RF to IF frequency conversion • Synthesized RF local oscillators • AGC

• IF filtering • AGC • RF to IF frequency conversion • A/D

• DDC • Baseband channel Filtering •AGC • Synchronization • Decoding

Digital Control

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

1

[email protected]

Figure 1- 1: Detailed block diagram of digital wireless radio receiver.

Figure 1- 2: Texas Instrument’s current state of the art DSP processing power (as of year 2003).

1.1 Heterodyne and Homodyne Receiver Architectures Software defined radios (SDR) are one of the solutions for realizing a multi-mode [20], multi-band radio terminal. Currently, becasue of their high performance and ease of implementation, most tactical receivers are implemented using the conventional heterodyne architecture. The heterodyne architecture requires the use of high-Q radio frequency (RF) and intermediate frequency (IF) circuitry and additional local oscillators (LO). This circuitry increases the cost, size, and power consumption of these receivers and prohibits them from being integrated or packaged in small form factors. Alternatively, direct-conversion receivers (DCR) are one class of receivers that promises superior performance in power consumption, size, and cost over the conventional heterodyne architectures. However, the use of direct-conversion receiver architectures has been limited due to several design-related issues [1]. DCR limitations are include LO leakage, DC offset, I/Q imbalances, flicker noise (1/f noise), 2nd-order inter-modulation and the necessity of using high dynamic range analog-to-digital (A/D) converters with a very linear front end. While there are many approaches to minimizing these issues in hardware, there is great interest in implementing the estimation and compensation for these hardware impairments using digital signal processing (DSP). This circumvents production problems, such as component tolerances, aging, and labor by reducing the interaction between the DSP and RF subsystems, which allow for full automation of production and testing. This, therefore, allows a true re-configurable terminal (i.e., softwaredefined radio). Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

2

[email protected]

LNA ADC

Preselection Filter

IR Filter LO1

Channel Select Filter

DDC

LO2

Figure 1- 3: Conventional Heterodyne Receiver Architecture

IF21 140Mhz

IF2 29Mhz

ISM signal 915 Mhz A/D & DSP

1055Mhz

169Mhz

Figure 1- 4: Heterodyne receiver example used in the 900Mhz ISM wireless local area networks (WLAN).

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

3

[email protected]

Demodulator

The oldest and most commonly used receiver architecture is the heterodyne receiver shown in Figure 1-3. The high-Q parts, such as the first IF and the image rejection (IR) filters in the front end, are the devices that pose the most problems to integration. The received RF signal in Figure 1-3 is first filtered and then amplified using a low noise amplifier (LNA). Then the signal is down converted to a first IF. The first IF is again down converted to another lower IF frequency that is well within sampling and the dynamic range of the A/D converter used. The A/D samples rate is typically at frequencies of 10-50 MHz, and passes the digital samples to a digital down converter (DDC). The DDC is used to shift the digital IF to baseband. Then, decimation and filtering are performed to yield the final number of samples-per-symbols for final demodulation and bit decoding. Figures 1-4 through 1-6 illustrate examples of heterodyne receiver architecture for various commercial systems.

IF21 135Mhz

IF2 15.42Mhz

GPS signal 1.57542Ghz DSP

1.44Ghz

120Mhz

Figure 1- 5: Heterodyne receiver example used for the global positioning satellite (GPS). IF21 100Mhz

IF2 450khz

PCS signal 1930 Mhz A/D & DSP

2030Mhz

99.55Mhz

Figure 1- 6: Heterodyne receiver example used for the personal communication system (PCS)

The main characteristics of receivers built using the heterodyne architecture are their high selectivity and high image rejection. These desirable characteristics come as a result of the use of high-Q filters and the double conversion scheme. The disadvantage of this architecture is that, since it uses high-Q devices and a double conversion scheme (in some cases three-stage conversion), it is difficult to integrate in a single chip or small set of chips. Furthermore, because of the double conversion and since most of the receiver gain is concentrated at RF stages, it consumes considerable direct current (DC) power, prohibiting the use of this receiver in mobile battery-operated applications for long periods of time. Furthermore, since the second IF conversion is usually fixed and matched to a channel bandwidth, this prohibits the use of variable data rates and forces the designer to use large bandwidths to match the highest rate. As a result of using the same fixed bandwidth that is matched to the highest data rate for lower data rates, the radio will be less sensitive, at the lower data rates, and more susceptible to interference and blockage. The main advantage of the architecture illustrated in Figure 1-3 is that there is no DC offsets and no quadrature mismatches between the real part of the baseband (I) and the imaginary part of the baseband (Q), since it is constructed digitally using the DDC. Overall, the heterodyne receiver enjoys high popularity among receiver designers of non-battery operated systems since its fundamentals are very well understood and there are no significant challenges in its use of current technology. To summarize, conventional heterodyne receiver architecture is limited by: • •

large size greater power consumption

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

4

[email protected]

• • •

difficulty of integration fixed data bandwidth not scalable for multi-rates and multi-waveforms.

The limitations of the heterodyne receiver have prompted designers to adopt the homodyne receiver architecture. The homodyne receiver shown in Figure 1-7 uses the direct conversion principle to eliminate the image filter and IF stages, with the result that it consumes less DC power and is capable of into a single chip. The down conversion is carried out using a local oscillator that has the same frequency as that of the incoming carrier being received. The architecture has most of its signal gain concentrated in the baseband and not the RF section, which reduces the power consumption and eases the circuit design. I A/D

BPF

LNA 0

90

LPF

cos(2πf ct + ψ (t ) + θ )

AGC

DSP

Q

A/D

cos(2πf ct )

Figure 1- 7: Homodyne Receiver Architecture Furthermore, since there is no pre-selection at RF or IF frequencies, the channel pre-selection and most of the Automatic Gain Control (AGC) are implemented at baseband, further reducing the complexity of the receiver RF section. The baseband pre-selection is carried out using tunable, switched capacitor filters that vary the bandwidth, based on the desired data rate. Despite these advantages over heterodyne receivers, direct conversion receivers using the architecture in Figure 1-7 have several serious design challenges that, in some instances, make them less favorable. The design problems arise as a result of the quadrature mismatches that are caused by the separate baseband processing of the imaginary and real parts of the down converted signal. These mismatches regenerate the image interference and can severely limit the use of this receiver architecture. The mismatch also distorts and rotates the digital modulation constellation, thus causing bits to be wrongly detected. Another problem arises from the local oscillator frequency being equal to the received signal frequency and the finite isolation between the mixer LO-port and RF-port of the mixer. This results in a large DC offset being created by the LO self-mixing. Another problem is the flicker noise, which is associated with the semiconductor devices used in both RF and baseband sections. This noise is distributed exponentially from DC to a few hundreds of kilohertz, which is usually where the baseband information is carried. Fortunately, Quadrature mismatches, DC offsets, and flicker noise all can be minimized or eliminated by using a receiver architecture that deviates slightly from the architecture in Figure 1-7 in conjunction with baseband DSP algorithms that estimate, track and null these impairments. Before these techniques are detailed, the image rejection receiver architectures, which is another variant of DCR, is described.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

5

[email protected]

1.2 Image Rejection Receiver Architectures

Image rejection mixers have been successfully utilized in limited applications as a compromise between heterodyne and DCRs. There are two types of image rejection receivers. Both types use trigonometric identities (phasing) to eliminate the image, and thus remove the need for the large, bulky front-end image rejection filters while retaining a small IF section. The first image rejection architecture is the Weaver receiver shown in Figure 1-8. Here, assuming that the two down converted quadrature channels are matched in quadrature phase and equal in amplitude, the additional conversion and quadrature summing stage will yield an image (solid spectrum) that is out of phase at the summing junction, and a desired signal (shaded spectrum) that is in phase. When both are combined, the image is completely canceled and the desired signal is doubled. The second image rejection architecture is the Hartley receiver shown in Figure 1-9. The Hartley receiver is similar in principle to the Weaver architecture, except that it cancels the image using passive 90o phase shift instead of frequency translation. This removes the need for an additional stage of frequency conversion. Since the 90o phase shift is implemented using resistor and capacitor [3], its exact quadrature match will only be maintained over a narrow band, limiting the receiver frequency range. Both Hartley and Weaver architectures suffer from quadrature imbalances and the DC offset when the final IF is not zero. Nevertheless, both Hartley and Weaver architectures have been successfully used in commercial receivers [3, 4]. Hz

0

IF1

Hz

BPF

LNA 0

90

90

LPF

0

A/D

DDC

cos(2πf ct + ψ (t ) + θ ) Hz

0

Fixed LO1 cos(2πf LO1t )

LO2 cos(2πf LO 2t )

Hz

0

Figure 1- 8: Weaver Receiver Architecture.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

6

[email protected]

Demodulator

0

IF1

yu ,d (t ) + yu , IM (t ) 90o

BPF

LNA

+

DSPDemodulator

90 0 cos(2πf rf t )

-

yb,d (t ) + yb, IM (t )

cos(2π (f rf − f LO )t )

cos(2πf LOt )

Figure 1- 9: the Hartley image reject receiver The following derivation illustrates the principle of image elimination in Hartley type image rejection receivers. Assume that the desired signal for the upper branch containing the 90-degree phase shifter in Figure (1-9) is given by y u ,d (t ) = cos( 2πf rf t ) sin( 2πf LO t ) =

1 {sin(2π [ f rf + f LO ]t ) − sin(2π [ f rf − f LO ]t )} 2

(1-1)

and that image signal is given by yu , IM (t ) = cos( 2πf IM t ) sin( 2πf LO t ) =

1 {sin( 2π [ f IM + f LO ]t ) − sin(2π [ f IM − f LO ]t )} 2

(1-2)

After the 90-degree phase shift, and using sin( x − 90 o ) = − cos( x) , the desired signal in (1-2) becomes, 1 ~ yu ,d (t ) = {− cos( 2π [ f rf + f LO ]t ) + cos( 2π [ f rf − f LO ]t )} 2

(1-3)

and the image signal becomes, 1 yu , IM (t ) = {− cos( 2π [ f IM + f LO ]t ) + cos( 2π [ f IM − f LO ]t )} 2

(1-4)

Likewise, quadrature channel, the desired signal in the bottom branch is given by y b ,d (t ) = cos( 2πf rf t ) cos( 2πf LO t ) =

1 {cos(2π [ f rf + f LO ]t ) + cos(2π [ f rf − f LO ]t )} 2

(1-5)

and the image is given by y b, IM (t ) = cos( 2πf IM t ) cos( 2πf LO t ) = Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

1 {cos(2π [ f IM + f LO ]t ) + cos(2π [ f IM − f LO ]t )} 2 7

(1-6)

[email protected]

After summing both branches as illustrated in Figure 1-9, the resultant signal is give by y out (t ) = y u ,d (t ) + y u , IM (t ) − y b ,d (t ) − y b, IM (t ) = cos( 2π [ f rf − f LO ]t ) = cos( 2πf IF t )

(1-7)

Thus, by assuming there are no quadrature mismatches between the two channels in Figure 1-9, the 90-degree phasing phase shift results in the removal of the image and the yield of only the desired IF signal. The 90degree shift is implemented as an analog circuit using resistor-capacitor passive networks [4], which can result in some problems due to aging, temperature variation, and narrow band response. Figure 1-10 shows one example of an integrated Hartley receiver chip [21]. The integration is one approach to obtaining a repeatable tight tolerance of the 90-degree phase shifted branch.

Figure 1- 10: An example of integrated Hartley receiver chip (Maxim., California, USA).

1.3 Principle of Digital Down Converter and Sample Decimation

One of the most essential parts of a modern RF receiver is the digital down converter that translates the over sampled digital IF frequency to baseband and then decimates the signal to a lower sample rate. Figure 1-11 shows a block diagram of a common digital down converter system. Here the sampled IF signal gets sorted into odd and even indexed samples. These samples are then passed to the numerical complex multiplier that forms the baseband by complex multiplication, assuming there is no frequency mismatch between NCO and the received carrier frequencyThe resulting in-phase and quadrature phase branches will be a true baseband signal (center frequency is zero Hz). The quadrature input channels are at a high sample rate (typically higher than 4).By decimating the samples to a lower number of samples per symbols (1/8,1/16,1/32,…etc.), the subsequent DSP algorithms consume no more than the needed effort for demodulation computations, yet the signal information contents are still retained.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

8

[email protected]

n:odd ⎛ 2π ⎞ cos ⎜ n ⎝ N ⎠

RF

IF

A/D

CIC ↓

FIR

I

CIC ↓

FIR

Q

NCO ⎛ 2π ⎞ − sin ⎜ n ⎝ N ⎠

n:even

Digital Downconverter

÷2

fs Figure 1- 11: Representation of digital down converter (DDC) Mathematically, the DDC uses an in-phase NCO signal given by,

⎛ 2πf IF ⎞ i NCO (n ) = cos⎜⎜ n ⎟⎟ f ⎝ s ⎠ and a quadrature signal given by ⎛ 2πf IF ⎞ q NCO (n ) = − sin⎜⎜ n ⎟⎟ f ⎝ s ⎠

(1-8)

(1-9)

fs in Figure 1-11 is the f IF oversampling factor. One way that has commonly been implemented to simplify the DDC computational process is to use a sample rate that is a multiple of 4 times the IF frequency. Substituting f s = 4 f IF in (1-8) and (1-9), the NCO in-phase signal is reduced to, ⎛ π ⎞ ⎧ 0 n , n : odd i NCO (n ) cos⎜ n ⎟ = ⎨ (1-10) ⎝ 2 ⎠ ⎩(− 1) 2 , n : even When the ratio of the DDC sample rate to the intermediate frequency as N =

and the quadrature NCO signal is reduced to

⎛ π ⎞ ⎧ 0 n +1 , n : even q NCO (n ) = − sin⎜ n ⎟ = ⎨ ⎝ 2 ⎠ ⎩(− 1) 2 , n : odd Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

9

(1-11)

[email protected]

By inspection of (1-10) and (1-11), the NCO sinusoidal signal will take on the value of only (0, -1, or +1). This is very beneficial since now the multiplication in Figure 1-11 is realized by sample index multiplexing and inverters. Thus the DDC in Figure 1-11 becomes a free multiplication process. To illustrate this, assume that the received IF samples are fed to the DDC in Figure 1-11 with a sampling rate that is four times the IF frequency and are given by (1-12) x (n ) = {x 0 , x1 , x 2 , x3 , x 4 , x5 , x6 , x 7, ...} with performing the in-phase NCO down conversion according to (1-10) is given by, i NCO (n ) = {1,0,−1,0,1,0,−1,0,...}

(1-13)

the digitally down converted in-phase samples (baseband) are then give by I (n )i NCO (n ) = {x0 ,0,− x2 ,0, x 4 ,0,− x6 ,0,...}

(1-14)

Which only utilized even index selection, filing zero for odd indexed samples, and an inversion process according to (1-13). Likewise, for the quadrature phase branch, the NCO sequence is given by q NCO (n ) = {0,−1,0,+1,0,−1,0,+1,...}

(1-15)

As a result, the digitally down converted quadrature samples (baseband) are then give by Q (n )q NCO (n ) = {0,− x1 ,0, x3 ,0,− x5 ,0, x7 ,...}

(1-16)

A commonly used commercial DDC chip is the AD6620 available from Analog Devices (USA, MA) [13] and the Intersil (USA, FL) HSP50016 [14]. For the Analog Devices AD6620, the frequency translation stage is accomplished with a 32-bit complex NCO. Following the frequency translation is a fixed coefficient, high speed decimating filter that reduces the sample rate by a programmable ratio between 2 and 16 using a second order, cascaded integrator comb FIR filter (CIC2). Following the CIC2 a second stage of fixed-coefficient decimating filter is implemented using a fifth order decimator filter (CIC5), which further reduces the sample rate by a programmable ratio from 1 to 32. Clearly, the job of the digital down converter involves frequency translation to baseband and decimation of the highly oversampled baseband signal sufficient for the consequent DSP algorithms (carrier recovery, AGC, and decoding). The decimators in conjunction with the FIR LPF in (111) define the baseband bandwidth (i.e., channel preselection) and reduce the unnecessary sample rate as shown in Figure 1-12. Clearly here the decimation ratio (M) can be used to control the null-to-null frequency f bandwidth, which is given by f null = s . The frequency response of the CIC filter is given by [15]: M

N

⎡ ⎛ f ⎞⎤ ⎢ sin ⎜⎜ Mπ ⎟⎟ ⎥ fs ⎠⎥ H( f ) ∝ ⎢ ⎝ , ⎢ ⎛ f ⎞ ⎥ ⎢ sin ⎜⎜ π ⎟⎟ ⎥ ⎝ f s ⎠ ⎦⎥ ⎣⎢ Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

0≤

1 f ≤ fs M

10

(1-17)

[email protected]

Where M is the CIC decimation ratio and N is the CIC filter order. Figure 1-12 shows a fifth order and second order CIC frequency response for a few decimation ratios. Notice that the decimator has a notch at a frequency f 1 that is equal to , which is of great benefit to receivers since this is one of the stages at which channel = fs M pre-selection can be defined. One way to utilize this notch frequency is to place the desired signal image or any other undesired spur at the notch frequency. 0 0

M=4 M=8 M=16

-20

-30

-60

-50

-100

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

0.25

M=8 M=4

-80

-0.2

M=16

-40

-40

-60 -0.25

M=4,8,16 CIC RESPONSE 5th ORDER

-20 Normalized gain -dB

-10

M=4,8,16 CIC RESPONSE 2ND ORDER

-120 -0.5

-0.4

-0.3

-0.2

-0.1

0

0.1

0.2

0.3

0.4

0.5

Normalized frequency -f/fs

Figure 1- 12: Frequency response for the 2nd order CIC with decimation M =4,8, and 16 (left), and the 5th order CIC filter with decimation M =4,8, and 16 (right).

The LPF in Figure 1-11 is necessary to attenuate the side lobes resulting from the decimation filters that otherwise cause severe adjacent channel interference (ACI). As an illustrative example, assume that the downconverter in Figure 1-11 has a modulated IF=100 MHz with a bandwidth of 1.2288Mbps. The sample rate used by the DSP demodulation and bit decoder is normally at least four times the bit/chip rate. Using an arbitrary choice for the decimation ratio prior to the decimation and FIR filtering in Figure 1-11, let us assume that the overall over sampling factor was 40, hence the sample rate from the A/D is f s = 49.152Msps. For the sampled 100Mhz IF signal, the resulting IF signal spectrum is folded back in the first Nyquist zone. As a result, the DDC will have a digital intermediate frequency of

f DIF = 100Mhz − 2(49.152) = 1.696Mhz The required four times the chip rate samples and FIR filtering can be acheived by using two sequential decimation stages, first a second order CIC decimator using M=4, followed by a 5th order CIC decimator using M=10. If a decimation ratio with M=5 in the first CIC filter is used, it will result in a frequency notch at f null = 49.152 / 5 = 9.825Mhz Then if a decimation with M=2 is used in the second decimator, a second notch placed at f DIF = 9.825 / 2 = 4.9125Mhz results. Clearly, a cleaver way of assigning the M value is to use it to control the location of the notch frequency to reject sampling clocks and known fixed interferences. Since both of the CIC filters in Figure 1-11 have broad filter passband when using small decimation ratios, the image and adjacent channels rejection that pass through the hardware filtering (IF filter) can only be filtered effectively using the channel final FIR programmable filter, which is normally designed with high order on the Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

11

[email protected]

order of 64 to 256 taps. Such filters can offer up to 60 dB of attenuation of adjacent channels [14] , which is a requirement from most cellular and short range radio receivers .

1.4 Alternatives to Direct Conversion Receivers

One way to circumvent the problems associated with the conventional Homodyne architecture (see figure 1-7) is to use a “near zero IF” receiver architecture, commonly known as a low IF receiver. This architecture translates the RF signal to a low IF frequency that is just slightly mis-tuned to the baseband so that DC offsets and flicker noise are avoided (see figure 1-13). This architecture retains the benefits of the homodyne architecture. The low IF is usually one to a few channels. For instance, for Global System Mobile (GSM) handsets, the low IF is 200 to 300 kHz. One advantage of this architecture is that, since the fractional bandwidth of the low IF band pass is large, it is possible to implement it with low-Q components. In figure 113, the sampled low IF is brought to baseband using an additional digital down converter. The adjacent channels present at the input of the A/D are the images of the desired channel. The complex down converter (see figure 1-13) acts like a Weaver mixer, since it unfolds and cancels the image at the complex summing junction. Another attractive feature of the low IF architecture in Figure 1-13 is that the phase locked loop (PLL) used for the first local oscillator can be used as a coarse oscillator and the digital down converter would then fine-tune the narrow channel to baseband. This simplifies the design of the first LO since it can facilitates the use of high reference frequency in the PLL, which increases the loop switching time and broadens the loop bandwidth, resulting in better phase noise performance. One of the main drawbacks, however, is that this architecture suffers from quadrature mismatches since the quadrature low IF is digitized and filtered in two separate analog channels. Also, since the image and interference are present at the A/D input the sensitivity of the receiver will be reduced if not filtered properly.. For this reason, the A/D used in low IF receivers uses a high dynamic range (more bits and faster sampling rate) to enable the receiver to cope with the presence of adjacent channel and interferences. cos(2πf LO 2t )

BPF 1

A/D BPF

LNA 0

90

LPF

sin( 2πf LO 2t )

AGC

Q

BPF

Demodulator/Decoder

I cos( 2πf c t + ψ (t ) + θ )

A/D cos( 2πf LO 2t )

90o splitter

cos( 2πf LO1t )

NCO

cos( 2πf LO1t )

Figure 1- 13: Low-IF and Receiver Architecture.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

12

[email protected]

I

cos(2πf ct + ψ (t ) + θ )

BPF 1

LNA 0

90

sin(2πf LO 2t )

LPF

A/D

BPF

A/D

BPF

AGC

-

Q

Demodulator

cos(2πf LO 2t )

cos(2πf LO 2t )

90o splitter

Fixed LO

cos(2πf LO1t )

cos(2πf LO1t )

Figure 1- 14: Double Conversion Wideband IF Receiver Architecture. Another deviation from the Homodyne receiver that is also suitable for integration is the double conversion wideband IF receiver shown in Figure 1-14. Here the first mixing is performed using a fixed local oscillator that block down converts all of the desired channels to a wideband IF. The down conversion is carried out while retaining the individual channel positions relative to each other, then the desired channel is quadrature down converted again to baseband using a secondary complex analog mixing stage and a fine-tuned oscillator to yield only the desired channel at baseband. Since the first oscillator is not running at the carrier frequency, there will be no DC offset, nor flicker noise problem. Since the first oscillator is fixed, it can be constructed using a highly stable and high-Q Surface-Acoustical Wave (SAW) filter yielding low phase noise. Since the second LO is running at a low frequency, its phase noise is also well controlled . However, unlike the receiver in Figure 1-13, there are two quadrature mismatch contributors present for this architecture because there are two quadrature analog conversion stages. 1.5 Quadrature Mismatch and Image Rejection

To analyze the impact of quadrature mismatches in the receivers shown in Figure 1-13 and 1-14, we first derive the mathematical representation of the image that arises due to the quadrature amplitude and phase mismatches. Assume that the RF signal received using the architecture shown in Figure 1-13 is given by: rrf (t ) = I (t ) cos( 2πf rf t ) − Q (t ) sin( 2πf rf t )

(1-18)

and that the quadrature local oscillator used in Figure 1-13 has a quadrature amplitude mismatch of α , and a phase mismatch of θ and is given by rLO (t ) = cos(2πf LO t ) + jα sin(2πf LO t + θ )

(1-19)

then the quadrature down conversion in Figure 1-13 is carried out by multiplying equation (1-18) and (1-19). That is, ⎡ e j 2πf LO t + e − j 2πf LO t e j (2πf LO t +θ ) − e − j (2πf LO t +θ ) ⎤ rrf (t ) rLO (t ) = rrf (t ) ⎢ + αj ⎥ 2 2j ⎦ ⎣

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

13

(1-20)

[email protected]

Clearly, with no quadrature mismatches, or α = 1 and θ = 0 , Equation (1-20) yields a maximum amplitude complex signal with the real part of y I (t ) = I (t ) and an imaginary part of y Q (t ) = jQ (t ) . However, with the quadrature imbalances present, the constellation representing the trace of the digital samples for the complex baseband in (1-20) will deviate from its optimal shape as shown in Figure 1-15 for an amplitude mismatch of α =.01 and an angle mismatch of θ =30 degrees. As a result of this mismatch, the image rejection in the receiver will be degraded. Further manipulations of (1-20) yield the following relationship,

[

(

)

(

1 rrf (t )rLO (t ) = rrf (t ) e j 2πf LOt 1 + αe jθ + e − j 2πf LOt 1 − αe − jθ 2

)]

(1-21)

The magnitude of the image rejection ratio (IRR) can be derived by taking the ratio of the magnitude of the resultant image signal (negative frequency) in (1-21) to the desired signal (positive frequency). That is,

IRR =

1 − αe− jθ (1-22)

1 + αe+ jθ

Which is further reduced to IRR =

1 + α 2 + 2α cosθ 1 + α 2 − 2α cosθ

(1-23)

2

2 10% mismatch

1

1

0

0

Volts

Imaginary

30-deg mismatch

-1

-2 -2

-1

-1

0

1

-2

2

1.05v 0.95V 0

50

100

150

200

Sample #

Real

Figure 1- 15: BPSK Constellation Due to Quadrature Mismatches Caused by θ =30o and α = 0.1 . One way to model quadrature mismatch impact on digital modulation using the receiver in Figure 1-13 is carried out by computer modeling, using the model in Figure 1-16.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

14

[email protected]

θ

cos(2πf RF t + ) 2

1+

α 2

I

Q 1−

θ

sin( 2πf RF t − ) 2

α 2

Figure 1- 16: Model for Quadrature Imbalances for Low IF Architecture in Figure 1- 5.

The derivation of the IRR in Equation (23) is appropriate for mismatches of a single stage analog conversion such as those present in Figure 1- 7 and Figure 1-13. For double conversion architectures, such as the image rejection receiver shown in Figure 1-8 or the double conversion wideband IF receiver in Figure 1-14, Equation (23) has to be modified to include quadrature mismatches from the second quadrature analog down conversion. Figure 1-17 illustrates the quadrature imbalance model for the dual conversion receiver architectures of Figure 1-9 and Figure 1-14. The image rejection ratio in this case is given by 1 + α 2 + 2α cos(θ1 + θ 2 ) IRR = 1 + α 2 − 2α cos(θ1 + θ 2 )

(1-24)

where θ 1 + θ 2 is the sum of mismatches of the first and second stages of quadrature down conversion, and α is the overall quadrature amplitude imbalances.

θ

cos( 2πf if 1t + ) 2

θ

θ

cos(2πf if 2t + ) 2

LPF

LPF

LPF

LPF

sin( 2πf if 1t − ) 2

θ

sin( 2πf if 2t − ) 2

1+

α 2 I

Q 1−

α 2

Figure 1- 17: Quadrature imbalances for wideband double conversion IF receiver. Figure 1-18 shows a plot of the input rejection obtained in the receiver structures discussed above as a function of moderate mismatches that are commonly experienced with commercially available quadrature two-way down converters. Figure 1-18 shows that for IRR=35 dB, the maximum phase and amplitude mismatches have to be less than 2o and 2% respectively. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

15

[email protected]

-15 10-deg.

-20

5-deg. -25 2-deg. IR-dB

-30

-35 1-deg. -40

-45 0.5-deg. -50

0

0.01

0.02

0.03

0.04 0.05 0.06 Amplitude Mismatch

0.07

0.08

0.09

0.1

Figure 1- 18: Image Rejection Versus Quadrature Mismatches.

1.6 Impact of the Quadrature Imbalances on the Receiver Bit Error Rate

The impact of the I/Q imbalances on the receiver performance can be evaluated using the degradation in BER performance due to signal loss and symbol rotation. The standard probability of bit error (Pb) of QPSK signals having quadrature amplitude mismatch is computed by altering the energy per bit (Eb ) by the amplitude loss due to mismatch [16] and given by Pb ,α ≈

α 1 ⎡ ⎛⎜ 2 E b (1 + ) 2 ⎢Q⎜ 2 ⎢⎣ ⎝ N o 2

⎞ ⎛ 2Eb α ⎟ + Q⎜ (1 − ) 2 ⎟ ⎜ N 2 o ⎠ ⎝

⎞⎤ ⎟⎥ ⎟ ⎠⎥⎦

(1-25)

Figure 1-19 (left) shows a plot of the BER deterioration of QPSK signal due to an amplitude mismatch of 10%, 20%, 30%, 40%, and 50 %. Notice that for 20% amplitude mismatch, which is a very typical of low cost quadrature down converters, the BER degradation due to the quadrature imbalance is less than 1.0 dB.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

16

[email protected]

10

10

10

Pe

10

10

10

10

-1

10

-2

10

-3

10 I/Q gain Mismatch

-4

Pe

0% 10% 20% 30% 50%

-5

-6

10 10

10 -7

2

4

6

8

10

12

10

Eb / N o

-1

-2

-3

-4

I/Q Phase Mismatch o 20 15o 10o 5o 0o

-5

-6

-7

4

2

6

10

8

12

Eb / N o

Figure 1- 19: effect of gain and phase mismatch on QPSK bit error rate.

The quadrature phase mismatch impact on the BER can be found in a similar approach. Assuming that the mismatch angle is small, the small angle assumption can be used. The net effect of the mismatch is then θ considered as a reduction in the bit energy Eb and is proportional to sin . Thus by using the approximation of 2 θ θ sin ≈ the BER degradation due to phase mismatch is given by, 2 2 Pb ,θ ≈

⎛ 2 Eb 1 ⎡ ⎛⎜ 2 Eb θ ⎞ θ ⎞⎤ (1 + ) 2 ⎟ + Q⎜ (1 − ) 2 ⎟⎥ ⎢Q⎜ ⎜ N 2 ⎣⎢ ⎝ N o 2 ⎟⎠ 2 ⎟⎠⎦⎥ o ⎝

(1-26)

Figure 1- 19 (right) illustrates the BER degradation of QPSK signals due to quadrature phase imbalance of 0o, 5o, 10o, 15o, and 20o. The figure shows that to guarantee a degradation of less than 0.5 dB in the receiver, the quadrature branch phase imbalance must be less than 5 degrees, which is also a typical specification of low cost quadrature down converters. 1.7 Time Variant and static (time invariant) DC Offsets

The presence of non-time varying DC offsets, due to LO leakage from the mixer LO port shown in Figure 1-7, is critical to the receiver performance. The leakage problems are due to finite mixer LO-to-RF isolation, substrate radiation, and capacitive and magnetic LO coupling. The LO self-mixing can be illustrated by squaring a sinusoidal LO signal, that is: 2 ( ALO cos 2πf LO t )2 = ALO

2 2 + ALO 2 cos 4πf LO t

(1-27)

which clearly creates a DC term and a high order term corresponding to twice the LO frequency. The DC term often can add millivolts into the receiver’s input, while the desired received RF signal is microvolts, thus Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

17

[email protected]

causing a carrier-to-interference ratio of up to C/I= -30 dB. The worst scenario is when the LO leaks into the input of the low noise amplifier (LNA) input, producing an even stronger DC offset due to the high gain of the LNA, which then saturates the subsequent A/D. A DC offset can also result from a strong in-band interferer, which, when leaking from the LNA output into the LO port of the mixer, will cause self-mixing and thus produce a DC offset in the baseband section. The second order inter-modulations between adjacent channels, due to non-linearity’s in the baseband section of the receivers shown in Figure 1-13 and Figure 1-14, also produce DC offsets. To illustrate this, assume that the second order inter-modulations can be represented by a polynomial having three nonlinear terms ℑ( x ) = a1 x + a 2 x 2 + ... . , with the input signal given by rrf (t ) = Arf cos 2πf ch1t into the non-linearity where f ch1 is the desired channel. In this case, the non-linearity output will contain the desired channel signal, a DC term, and higher order terms given by

ℑ(rrf (t ) ) = a 2 Arf2 / 2 + a1 Arf cos 2πf ch1t + a2 Arf2 / 2 cos 4πf ch1t

(1-28)

where the ratio of the term a1 / a2 = IIP2 in (1-28) is the second order input intercept point [1]. To minimize the higher order terms and the DC term in direct conversion receivers due to this in-band interference, the ratio of a1 / a2 has to be maximized (i.e., use higher IIP2 baseband devices). The second order non-linearity also causes in-band interference created by the presence of adjacent channels. To illustrate this, consider the input signal to the direct conversion receiver to be given by: rrf (t ) = cos 2πf ch1t + cos 2πf ch 2 t

(1-29)

where f ch1 and f ch 2 are two adjacent channels. After this signal passes through a second order non-linearity, terms having the sum and difference of f ch1 and f ch 2 are created. Since the IF is zero, the different terms of the sinusoidal with frequency of f ch1 and f ch 2 will create an in-band interference, while the sum will create another interference term that is interference for the third adjacent channel. This again emphasizes the importance of the second order inter-modulation of the baseband section of the receiver. One way to avoid second order effects is to use balanced differential circuit components (indicated by the double lines of Figure 1-7), high IIP2 devices, good frontend-to-baseband isolation, and good printed circuit board (PCB) layout. A time variant DC offset is caused by the presence of Doppler frequency associated with the reception of the reradiated LO as the radio physically moves (a moving car or walking pedestrian) or as the object moves. This DC offset will have a frequency spread and thus only DSP methods are able to successfully estimate, track and then cancel the frequency spread. The frequency spread is dependent on the relative velocity with respect to the stationery or moving emitted LO from the receiver frontend port (i.e., antenna). 1.8 DC Offset Removal Algorithms

One way to remove static (non-time varying) DC offsets is by using high pass filter (HPF) after the down conversion. This is practical howver, only if the modulation does not contain any significant spectral information at or near DC [10, 12], such as Frequency Shift Keying (FSK) waveforms, or wideband modulations like spread spectrum. For example, [12] showed that less than 0.2 dB of bit error rate deterioration was present when using an HPF with a cut-off frequency on the order of 0.1% of the spread spectrum chip rate. For TDMA applications, the use of HPFs, which use large capacitors may cause slow settling times in the receiver circuits. ahigh BER at the start of the TDMA burst will result.. Furthermore, use of large capacitors may prohibit integrating the receiver into a chip because of the area required to physical layout the capacitor. An alternative to using a HPF example was used in [10,11], where the DC offsets are removed in the TDMA Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

18

[email protected]

systems using a capacitor that stores the DC charge during the TDMA burst off period. Then the DC is measured quickly before the burst is on again, and the measured value is subtracted in the analog section during the on time of the TDMA burst. Figure 1-20 shows another variant of the same receiver, where the DC offset is estimated using the discrete Fourier transform (DFT) of an input calibration tone. Figure 1-21 shows one proposed DC removal algorithm that detects the most significant bit (MSB) of the A/D to indicate saturation due to large DC offset. When the DC offset does not cause A/D saturation, an algorithm in the baseband DSP section estimates the mean of the quadrature samples resulting from test tone injected in the frontend of the receiver, which correspond to the DC value. The DC measured value is then subtracted by the feedback loop in the analog section before the A/D. The time variant DC offset is removed using DSP algorithms in the baseband section using a feed-forward loop to the A/D output. Figure 1-20 shows one commercial receiver direct conversion chip that incorporate a similar idea for the DC removal. DC removal loop

Figure 1- 20: The Motorola MC13760 direct conversion chip (Motorola, Arizona, USA).

1.9 Tone-Aided Quadrature Imbalance Estimation and Compensation

One of the commonly used techniques to estimate the quadrature mismatches in DCRs has been the use of calibration tones injected at the front-end of the receiver. By measuring both image and desired parts of the calibration signal levels, an adjustment is derived that is fed back to de-rotate and to adjust the amplitude of the quadrature path relative to the in-phase path. Figure 1-21 shows one such implementation that is used with the low IF receiver architecture from Figure 1-13 [5]. Assume that the quadrature LO signal, including the imbalances is given by rLO (t ) = (1 + ∆ ) cos(2πf LO t + θ ) + j (1 − ∆ ) sin(2πf LO t − θ )

(1-30)

The phase mismatch is estimated by injecting a tone at a frequency that is centered in the received channel. Image and desired components are then measured at baseband and are used to estimate the imbalance correction parameters β and α shown in Figure 1- 21. The quadrature phase imbalance is estimated by: Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

19

[email protected]

⎛ I 2 d Q2 i − I 2 i Q2 d 2 2 ⎝ I 2 d + Q2 d

θˆ = 2 tan −1 ⎜⎜

⎞ ⎟ ⎟ ⎠

(1-31)

and the quadrature amplitude mismatch is estimated by:

⎛ I I + Q2 d Q2 i ∆ˆ = 2⎜⎜ 2 d 22i 2 ⎝ I 2 d + Q2 d

⎞ ⎟ ⎟ ⎠

(1-32)

where I 2 d = II − QQ , and Q2 d = IQ + QI are the measured real and imaginary parts of the desired calibrating tone levels, and I 2i = II + QQ and Q2i = IQ − QI are the real and imaginary parts of the measured calibrating image signal levels. Using the estimates in (1-31) and (1-32), the amplitude imbalance compensation, as shown in Figure 1-21 is given by,

α=

1

(1-33)

(1 − ∆ˆ ) cos θˆ

and the imbalance compensation for the angle is given by:

β = − tan θˆ

(1-34)

With − 5 o ≤ θ ≤ +5 o , and − 10% ≤ ∆ ≤ +10% , the algorithm in (33) and (34) achieved IIR of more than 50dB.

1.10

Frequency Domain Quadrature Imbalance Estimation and Compensation

Another approach is to use the Discrete Fourier Transform (DFT) coefficients of the calibration signal to estimate the quadrature mismatches and the DC offset as shown in Figure 1-22. Here the received calibration tone is sampled and then processed through a DFT algorithm from which bins are manipulated to derive DC and quadrature imbalances. To illustrate this method, assume that the calibration tone quadrature down converted low IF including the DC offset is given by:

and

y I (t ) = (1 + α )I (t ) cos( 2πf if t ) + idc

(1-35)

y Q (t ) = Q (t ) sin( 2πf if t ) + q dc

(1-36)

where f if is the low IF calibration tone frequency, idc and qdc are the DC offsets associated with the real and imaginary parts of the sampled low IF signal. The test tone at f = f if is then sampled by a frequency such that f if = f s 4 , which results in the following quadrature signal:

y I ( n) = (1 + α ) cos(π

n + ϕ ) + idc 2

(1-37)

and Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

20

[email protected]

y Q ( n) = sin(π

n n + ϕ ) + q dc 2

(1-38)

where n is the sample number, and ϕ is an arbitrary phase shift. The samples in Equation (1-37) and (1-38) are then processed using a 4-point DFT is given by k =3

S (k ) = ∑ s (n)e

−j

2πnk 4

(1-39)

n =0

where, s ( n) = y I ( n) + y Q (n) . Expanding Equation (1-39), the 4-bins of S (0) , S (1) , S (2) and S (3) are then exploited to extract DC and the imbalance estimates. The first complex bin, S (0) represent an estimate of the DC offset associated with both real and imaginary parts of the calibration tone signal in (1-29). That is: 1 iˆdc = Re{S (0)} 4 1 qˆ dc = Im{S (0)} 4

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

(1-40) (1-41)

21

[email protected]

DC offset removal

N −1

1∑ N k= 0

-

iˆdc

- iˆdc (t )

A/D-MSB

cos( 2πf LO2t )

Q1 I 1

A/D

cos (2π f t + ψ (t ) + θ ) c

Implemented in DSP

~ I1

II

c

BPF 1

I1

LNA 0

90

LPF

qˆdc

qˆdc ( t)

AGC

-

Q1

cos (2π f CALt )

-

QQ

β

+

IQ

-

~2 Q

BPF

yQ

A/D

α CalibratingTones

yI BPF

cos( 2πf

+

QI

cos( 2 πf LO2t )

sin(2πf LO2t )

DSP Demodulator

DAC

DDS- (LO2)

t)

LO1

Compensation network

yI yQ

Figure 1- 21: Proposed Quadrature and DC Baseband Compensation in Time Domain.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

22

[email protected]

cos( 2πf LO 2t )

A/D

cos( 2πf c t + ψ (t ) + θ )

BPF 1

~ I1

-

-

I1

LNA 0

90

LPF

yI BPF

iˆdc (t )

QQ IQ

Q1

-

+

-

~ Q2

yQ BPF

A/D

qˆ dc (t )

cos( 2πf LO1t )

P (z ) E ( z ) + 1

Compensation network

D/A

QI

+

-

Calibrating Tones

II

AGC

qˆ dc

cos(2πf CAL t )

Implemented in DSP

cos( 2πf LO 2t )

sin( 2πf LO 2t )

DDS- (LO2)

4pt-DFT

yI yQ

Figure 1- 22: Proposed Quadrature and DC Baseband Compensation in Frequency Domain.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

23

[email protected]

Demodulator/Decoder

iˆdc

Further manipulations of the other three bins lead to the quadrature imbalance compensation coefficients shown in Figure 1-22, ⎧ 2S (3) ⎫ E = − Re⎨ ∗ (1-42) ⎬ ⎩ S (1) + S (3) ⎭ and ⎧ 2S (3) ⎫ P = − Im⎨ ∗ (1-43) ⎬ ⎩ S (1) + S (3) ⎭ Using the imbalance error estimates obtained in Equations (1-42) and (1-43), the in-phase compensated received signal is given by: yˆ I (n) = (1 + E ) y I (n) − iˆdc (1-44) and the quadrature phase compensated received signal is given by: yˆ Q ( n) = Py I ( n) + y Q ( n) − qˆ dc

(1-45)

A computer program was used to simulate the system in Figure 1-22 and then execute the algorithm derived in Equations (1-44) and (1-45). Figure 1-23 shows the performance of this algorithm for four cases of quadrature imbalances marked at the top of each figure. From the results in Figure 1-23, the algorithm seems to estimate amplitude mismatches up to 80% with small angles mismatches. However, for large angle mismatches, on the order of 10o, the algorithm loses its effectiveness. (20%,5o )

1 0.5 Q

(80%,5o )

1 0.5

I/Qmismatched

Q

0

0 original & corrected

-0.5 -1 -1

-0.5

-0.5

0 I

0.5

-1 -1

1

(20%,10o )

1

1

0.5 Q

0 0.5 I (20%,22.5o )

1

0.5

0

Q

-0.5 -1 -1

-0.5

0 -0.5

-0.5

0 I

0.5

-1 -1

1

-0.5

0

0.5

1

I

Figure 1- 23: Performance of the DFT-based Quadrature Mismatches and DC Estimation Algorithm. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

24

[email protected]

One of the drawbacks of both algorithms in Figure 1-21 and Figure 1- 22 is that their imbalance estimates are only accurate at a single frequency, which is the calibration tone that is usually chosen to be the middle of the channel. For wideband channels (more than 10 kHz), neither algorithm is optimal. One way to broaden the performance of these algorithms for wideband channels is to use multiple calibration tones. The compensation network is then changed from a single constant value multiplication to a frequency-dependent compensation digital network that will perform quadrature imbalance compensation at multiple frequency offsets. The calibration tones can be chosen as fractions of the Nyquist bandwidth such as,

fi = [

fs 2 fs 3 fs 4 fs , , , ] 10 10 10 10

(1-46)

which produces a set of correction coefficients given by Pi and E i , where i =1, 2, 3, 4. These estimates are then used to synthesize a digital, finite impulse response filter given by:

(

∏ k4 =1,k ≠i z + z −1 − 2 cos 2πf k

4

E ( z) = ∑ i =1



4 k =1, k ≠ i k

and i =1

Ei f i f s − 2 cos 2πf k )

(

∏ k4 =1,k ≠i z + z −1 − 2 cos 2πf k

4

P( z ) = ∑

(2 cos 2π

)

(1-47)

)

Pi ∏ k4 =1,k ≠i k (2 cos 2π f i f s − 2 cos 2πf k )

(1-48)

The compensation outputs of (1-47) and (1-48) are then used for correction as shown in the system in Figure 122.

1.11

Preambles Quadrature Imbalance Estimation and Compensation

Another major disadvantage of both algorithms in Figure 1-21 and Figure 1-22 is that they require additional hardware to implement the calibrating tone loopback. Also, calibration cannot take place without halting normal receiver operations. Figure 1-24 illustrates one method for imbalance corrections without use of calibration tones and with estimation of imbalances and correction carried out during normal reception.

yI I

α z

−1

-

µ

γ

Q

µ

(. )

z −1

-

(. )

yQ

Figure 1- 24: Toneless Quadrature Imbalance Correction. The principle of I/Q imbalances estimation and correction in Figure 1-24 is based on the orthogonality between the I and Q signals. Since the imaginary and real parts of a perfectly balanced MPSK modulated signal are Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

25

[email protected]

orthogonal, if there are any quadrature imbalances, this orthogonality is distorted. Based on this principle, a simple approach is to estimate amplitude mismatches from the autocorrelation function of the imaginary and real parts of the modulated Mary-PSK signal, as shown in Figure 1-24. The estimates are arrived at by iteration using an adaptive noise canceller filter with the least mean square error method [6]. The quadrature-corrected signal is given by y Q = (αI + Q )β

(1-49)

where the angle correction β is found using the error measure of cross product given by I ( n)Q ( n) . This can be recursively estimated using the following algorithm,

β (n + 1) = β (n) + µ {I (n) − Q(n) }

(1-50)

and the amplitude correction signal α is estimated using the error measure of I (n) − Q(n) and is given by

α (n + 1) = α (n) − µ {I (n)Q(n)}

(1-51)

where 0 ≤ µ ≤ 1 is a convergence factor. As an example, Figure 1-25 shows both phase and gain mismatches caused by quadrature imbalances. Figure 1-26 shows the performance of the algorithm described by equation 1-50 and 1-51 showing an amplitude imbalance of 60% compensation converges in less than 200 samples as seen in Figure 1-26. For the angle compensation, Figure 1-27 illustrates the performance of (1-50) using a convergence factor of 0.001.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

26

[email protected]

Figure 1- 25: Constellation QPSK with perfect Gain and phase imbalance (left), 60% gain imbalance (right), and phase imbalance of 30 degrees (bottom). 2

real

1 0 -1 -2

0

100

200

300

400

500

600

700

800

900

1000

0

100

200

300

400

500

600

700

800

900

1000

2

imag

1 0 -1 -2

Sample Number

Figure 1- 26: The real and imaginary of the QPSK signal before, during, and after gain imbalance correction.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

27

[email protected]

0

-0.1

Phase Mismatch Correction

µ=0.001; -0.2

-0.3

-0.4

-0.5

-0.6

0

0.5

1

1.5

2

Sample Number

2.5

3 4

x 10

Figure 1- 27: Correction signal for phase imbalance of 30 degrees (0.53 radians) and a convergence factor of 0.001. 1.12

Quadrature Imbalance Estimation and Correction in Image Rejection Receiver

Most of the techniques discussed above can be extended to correcting the quadrature imbalances of the image rejection receivers illustrated in Figure 1-8 and Figure 1-9. Figure 1-28 shows a proposed architecture to correct the Hartley receiver. The receiver is based on a digital metric that measures the quadrature mismatch error between the upper and lower branches and then suppresses the image as shown. Assuming that the input RF signal to the quadrature down converter in Figure 1-28 is given by

{

}

{

Re s (t )e j 2πf c t + Re I (t )e j 2πf c t

}

(1-52)

Assuming that the signal that enhances the desired signal (upper branch) is given by Ae = h1 s ( n) + h2 I ∗ (n)

where I ∗ (n) is the sampled image and s (n) is the sampled desired input signal . Consider that the lower branch enhances the image Be = h2∗ s ( n) + h1∗ I ∗ ( n) , where h1 and h2 are coefficients representing the quadrature imbalances [4], and are given by h1 = 1 2 + 1 2 α cosθ + j 1 2α sin θ

(1-53)

h2 = 1 2 − 1 2 α cosθ + j 1 2α sin θ

(1-54)

and

where α is the quadrature amplitude imbalance and θ is the quadrature phase imbalance. Thus, the image rejection ratio (IRR) is given by IRR = 20 log10 ( h1 h2 ) . To maximize this rejection, the digital processor shown in Figure 1-28 contains a correlation coefficient calculator that measures the mismatch by calculating a modified correlation coefficient ρ given by: Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

28

[email protected]

{

E Ae Be∗

ρ=

}

(1-55)

E{ Ae } + E{ Be } 2

2

Substituting the values of Ae and Be in Equation (55) yields

h1 h2

ρ=

h1 + h2 2

(1-56)

2

which is totally dependent on the quadrature mismatches. The correlation coefficient in (50) will be maximized if E {Ae Be∗ } is maximized, which indicates a total correlation between Ae and Be . The image component within the desired signal, Ae , can be completely removed by first multiplying Be by the correlation coefficient ρ and then subtracting the result from the desired signal Ae . That is y out = Ae − ρBe

(1-57)

Substituting the values of Ae , Be , and ρ into Equation (57), the output signal is then given by: y out =

h1 h1

2

h1 + h2 2

2

s ( n) +

h2 h2

2

h1 + h2 2

2

I ∗ ( n)

(1-58)

which shows that the compensation algorithm attenuated the signals very little but suppressed the image I (n) by the factor

h2 h2

2

h1 + h2 2

2

.

IF1

Ae

+

Implemented in DSP

+

BPF

LNA 0

+

ρ

90

LPF

-

cos( 2π f ct + ψ ( t ) + θ ) _ -90o

+

A/D

Be

cos( 2π f LO t )

Demodulator/Decoder

A/D

yout

1

LO1

Figure 1- 28: Proposed Scheme for compensating Quadrature imbalances for Hartley Image Rejection Receiver.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

29

[email protected]

1.13

Digital Transmitter Architectures

Before detailing different transmitter architectures, we will illustrate the principle behind digitally upconverting a baseband signal to an intermediate frequency or directly onto the final transmitter carrier. The process of digital upconversion is simply the opposite of the digital down conversion process shown in Figure 1-11. Here the baseband samples are faithfully translated from a frequency of zero (baseband) to either an intermediate IF or directly to the carrier frequency using a quadrature NCO in conjunction with a complex multiplier as shown in Figure 1-29. The output signal from the digital up converter (DUC) shown in Figure 1- 29 is given by

⎛ 2πf IF sTX (n ) = I (n) cos⎜⎜ ⎝ fs

⎞ ⎛ 2πf IF n ⎟⎟ − Q(n) sin⎜⎜ ⎠ ⎝ fs

⎞ n ⎟⎟ ⎠

(1-59)

where I (n) and Q (n) are the baseband samples to be transmitted. For the case of f s = 4 f IF the NCO in-phase signal is reduced to ⎛π ⎞ ⎛π ⎞ sTX (n ) = I ( n) cos⎜ n ⎟ − Q ( n) sin ⎜ n ⎟ ⎝2 ⎠ ⎝2 ⎠

(1-60)

Which can be implemented using a multiplier free process by cyclically inverting or zeroing samples according to the sequences discussed in the DDC in Figure 1-11. In a practical system the baseband samples are upsampled and shaped to define the spectral bandwidth of the transmitted data. As an illustrative example, consider a baseband data rate of 64kbps processed for upconversion using the system in Figure 1-29. The data is split into even and odd indexed streams and then fed to I and Q., The I and Q are at a data rate of 32kbps. Two baseband filters are then used to shape the spectrum of the data streams. Normally these filters are implemented using a root raised-cosine filter (RCF) [16] with a specific roll-off value that is specified to control the signal bandwidth. For this example the filter sampling frequency is 10 times the data rate, so the sample rate out of the shaping filter in Figure 1-29 is 320ksps. If the IF needs to be somewhere in the region of 5 to 20 MHz, then an interpolation factor of M=12 can be used, resulting in an IF frequency of 3.84 MHz.

I

Filter

INT ↑I

n:odd ⎛ 2π ⎞ cos⎜ n⎟ ⎝ N ⎠

IF

NCO

Q

+

DAC

⎛ 2π ⎞ − sin ⎜ n⎟ ⎝ N ⎠

Filter

INT Q ↑

n:even

Figure 1- 29: Representation of digital up converter (DUC).

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

30

[email protected]

RF

Commercially, there are several DUC chips that are available. The Graychip (recently becoming part of Texas Instruments) GC4116 is a quad up converter chip that uses three serial stages of processing of the baseband data before it is multiplied by the NCO. The first stage of baseband processing is a 63-tap programmable coefficient interpolate by 2 FIR filter [19]. The second stage of processing is an interpolate by 2 CIC filter. The third stage is a CIC interpolator with a programmable interpolation ratio from 8 to 1448. This gives an overall interpolation from 32 to 5792. The chip is designed to run at up to 100 MSPS. A rival chip is the AD6623 from Analog Devices [13This chip also uses three stages of baseband processing before the samples are multiplied by the NCO. The first stage is a programmable FIR that can be implemented as a root Raised-Cosine filter that interpolates the input samples by M=2. The second stage is a 5th order CIC with an interpolation factor from 1 to 32. The last stage of processing is a 2nd order CIC interpolator filter with interpolation factor from 1 to 4096.

In addition to the DUC, there are three classes of transmitter architectures that are currently in use, namely Figure 1-30 through Figure 1-32. One very popular transmitter architecture that is often used is the IFmodulation up-conversion transmitter topology shown in Figure 1-30. Here, after the baseband signal is digitally upconverted to an IF, the IF filter at the output of the quadrature modulator rejects the harmonics of the IF signal. The signal is then analog up converted again to the final RF carrier and then amplified and fed to the antenna. This architecture is large in size and expensive, and does not allow full transmitter integration into a single chip since both IF and the up converter filters are large. However, since the quadrature modulation is performed at a low IF frequency, it is easier to handle quadrature imbalances, filtering and amplitude control resulting in accurate modulation constellations. Usually, the TX harmonic filter is required to have a harmonic rejection of 50-60dB. The use of filtering early in the IF stages will relax the harmonic filter specifications.

One way to reduce the cost and size of the topology in Figure 1-30 is to remove the IF stage by using a single up conversion stage using the direct-modulation architecture shown in Figure 1-31. Here the baseband signal is directly modulated onto the carrier. Since the LO is running at the carrier frequency, the finite isolation between the output of the power amplifier (PA) and the modulator pose a serious problem causing injection pulling. Therefore, to avoid the PA pulling problem, it is recommended that the local oscillator be operating at twice the RF carrier frequency, then a divide by two circuit is used inside the quadrature mixer chip to obtain the correct LO frequency. Another unattractive feature of this topology is that the PA output power dynamic range is limited by the carrier “feed through”, so an alternative method for controlling the PA drive has to be used. Nevertheless, since there is no IF filter used, this transmitter topology can be integrated in small packages and the final harmonic filter would still be a separate module.

To circumvent the use of the large harmonic filter at the output of the PA in the transmitters of Figure 1-30 and Figure 1-31, the Offset-PLL transmitter shown in Figure 1-32 can be used. This architecture is also popular for cases in which the same local oscillator used with the offset-PLL is also used as the main LO for the receiver. The attractive feature of this architecture is that the PLL acts as a narrow BPF that filters harmonic frequencies, thus the bulky high Q harmonic filter used in the previous transmitter topologies is not needed. Also using the offset as a receiver LOreduces the radio’s complexity and cost. The other advantage over the heterodyne architectures in figure 1-30 and figure 1-31 is that the output is protected from the high noise figure of the offset mixer. This eliminates the need for the large harmonic filter at the output of the transmitter. The offset-PLL topology may suffer from other spurious sources such as the interaction between various oscillators and mixers [17]. The Offset PLL transmitter is limited to phase Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

31

[email protected]

modulated signals and can not be used with QAM signals. Integrated polar modulators have recently gained some popularity [18]. 1.14

Quadrature Imbalances in Digital Transmitters

Quadrature imbalances are also present in the transmitter topologies shown in Figure 1-30 and Figure 1-31. Both topologies use an analog quadrature mixer that has some quadrature mismatches and can vary over frequency. These problems are not associated with the topology in Figure 1-32. As an example, the commercial part RF2484 device from RF Micro Devices (USA, NC) has a quadrature mismatch of 1 degree and 2% of amplitude mismatch. If this transmitter is used to transmit constant envelope signals such as continuous phase frequency shift keying (CPFSK) and continuous phase modulation (CPM), the quadrature imbalances in the transmitter will result in an undesirable peak-to-average power ratio (PAR).

Figure 1-33 shows the simulated PAR as a result of transmitting constant envelope CPM signal through a quadrature modulator with amplitude quadrature imbalance up to 70% and 20 degrees. Clearly, the transmitter topology in Figure 1-32 is favored over those in Figure 1-30 and Figure 1- 31because it offers the capability of suppressing harmonics without a bulky harmonic filter and can modulate constant envelope signals faithfully. To illustrate the quadrature mismatch and DC offset impact on digital modulators, assume that the baseband signal from a digital modulator such as those detailed earlier is given by, y (t ) = I (t ) cos(2πf LO t ) + Q (t ) sin(2πf LO t )

(1-61)

where the baseband signal terms in (1-61) have some small DC offset, that is I (t ) = i (t ) cos(2πf m t ) + dc m and Q(t ) = q(t )(2πf m t ) + dc m , and let the LO signal also contain some DC offset. Furthermore, consider that the LO signal with DC offset and quadrature imbalances is given by y LO (t ) = cos(2πf LO t ) + dc LO + α sin(2πf LO t + θ ) + dc LO

(1-62)

Substituting equation 1-62 into 1-61, the quadrature modulator output in both Figure 1-30 and Figure 1-32 architectures is given by y (t ) = (i (t ) + dc m )(cos(2πf LO t ) + dc LO ) + α (q(t ) + dc m )(sin(2πf LO t + θ ) + dc LO )

(1-62)

Expanding (1-62), the resulting signal output of the quadrature modulator is, y (t ) =

1 [i(t ) + αq(t ) cos(θ )]cos(2π ( f m − f LO )t ) + 1 [i(t )q(t )α sin (θ )]sin (2π ( f m − f LO )t ) + 2 2 1 [i(t ) − αq(t ) cos(θ )]cos(2π ( f m + f LO )t ) + 1 [i(t )q(t )α sin (θ )]sin (2π ( f m + f LO )t ) + 2 2 (1-63) dc m cos(2πf LO t ) + dc mα sin (2πf LO t ) cos(θ ) + dc mα cos(2πf LO t )sin (θ ) + dc LO i (t ) cos(2πf m t ) + αq (t )dc LO sin (2πf m t ) +

dc m dc LO + αdc m dc LO

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

32

[email protected]

Equation 1-63 contains the desired transmitter term cos(2π ( f m + f LO )t ) , the undesired lower side band cos(2π ( f m − f LO )t ) ,

the LO leakage cos(2πf LO t ) , the baseband or passband leakage sin (2πf m t ) and several DC terms. The DC terms and the modulation baseband leakage can be filter by a high pass filter (AC coupling). The ratio of lower sideband frequency f m − f LO to the upper sideband frequency f m + f LO is known as the sideband suppression. With that, the desired signal is given by

yd (t ) =

1 [1 + αq(t ) cos(θ )]cos(2π ( f m − f LO )t ) + 1 [α sin (θ )]sin (2π ( f m − f LO )t ) 2 2

(1-64)

remove the common factor ½, the envelope of (1-64) is given by

y d (t ) = [1 + α cos(θ )] + [α sin (θ )] = 1 + 2α cos(θ ) + α 2 cos 2 (θ ) + α 2 sin 2 (θ ) 2

2

(1-65)

Utilizing the trigonometric formula of cos 2 (θ ) + sin 2 (θ ) = 1 in (1-65),

y d (t ) = [1 + α cos(θ )] + [α sin (θ )] = 1 + 2α cos(θ ) + α 2 2

2

(1-66)

Similarly, the undesired term is given by 1 1 yud (t ) = [1 − α cos(θ )]cos(2π ( f m + f LO )t ) + [α sin (θ )]sin (2π ( f m + f LO )t ) 2 2 which has an envelope given by, y d (t ) = [1 − α cos(θ )] + [α sin(θ )] = 1 − 2α cos(θ ) + α 2 2

2

(1-67)

(1-68)

As a result, the sideband suppression or equivalently, the image rejection ratio (IRR) is given by, LSB 1 + 2α cos(θ ) + α 2 = 10 log10 USB 1 − 2α cos(θ ) + α 2

(1-69)

Clearly the relationship in (1-69) is familiar since it is the same formula derived for the image rejection ratio derived for the receiver in Equation (1-23). As expected, the quadrature downconverter and upconverter suffer the same effect in the presence of I/Q imbalances. The LO leakage to the desired signal ratio in (1-63) is given by dc 2 + 2αdc m dc LO sin (θ ) + α 2 dc m2 LO = 10 log10 m USB 1 + 2α cos(θ ) + α 2 / 4

(

)

(1-70)

The relationship in (1-70) shows that LO leakage is a function of quadrature imbalances and how much DC offset is present at the LO and modulation ports ( that is dc m and dc LO ), It is also observed in (1-70) that if the DC offset term leaking through the baseband is zero, dc m = 0, the LO term is very minimal. Also, if the DC offset term of the quadrature phase mismatch is zero, dc LO = 0, the LO power output is dominated by the Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

33

[email protected]

magnitude of dc m . Clearly the baseband port and the LPF used in the quadrature mixers shown in the transmitter topologies shown in Figure 1-30 and Figure 1-31 must be carefully designed to minimize the LO leakage and quadrature mismatch, both of which contribute to the creation of image components in the transmitter.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

34

[email protected]

LPF 90

fTX

f IF

0

PA Modulator filter

LPF

TX harmonic filter

fTX − f IF

f IF

Figure 1- 30: IF-Modulation /Up-Conversion Transmitter.

LPF f TX

f TX

/2

90

0

PA

LPF 2 f TX

2xLO

Figure 1- 31: Direct-Modulation Transmitter using a single up conversion stage.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

35

[email protected]

f IF

Loop Filter

BPF

VCO

fTX

Offset mixer

fTX − f offset = f IF

BPF

f TX ± f offset

~ RX-IF

f offset

From RX-Antenna

Figure 1- 32: Offset-PLL Transmitter.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

36

[email protected]

3

2.5

dB

2

1.5 20 deg 1 10 deg 5 deg 0.5 0 deg 0

0.1

0.2

0.3 0.4 Amplitude mis ma tc h

0.5

0.6

Figure 1- 33: Peak to Average Ratio with I/Q mismatches with 0,5,10, and 20 degree of phase mismatch.

1.15

Multi-Carrier Transmitter Architectures

Figure 1-34 shows a conventional multicarrier system. The carriers are combined after the power amplifiers. This architecture has several disadvantages. First, the individual carrier branches require individual tuning. Second, the hybrid power channel combiner has loss associated with it, requiring high power to compensate for this loss. Finally, N carrier systems require 2N DAC devices, N analog vector modulators, N bandpass filters and N power amplifiers., These requirements result in a large hardware system that requires higher DC power consumption,and the generation of extra heat. Figure 1-35 shows an alternative to using the analog system. Here the system generates the quadrature modulated individual carriers (sub channels) in the digital domain and combines the carriers in the digital domain. Next, the carriers are converted to an analog signal using a single DAC device and then amplified by one common amplifier. This saves enormous amounts of analog components, many of which require lengthy production tuning. Consequently, an expensive and tedious part of the manufacturing process is eliminated. A single linear amplifier replaces the conventional high-level combination of individual amplifiers. Also, the power losses in a hybrid combiner are avoided. By not using an analog quadrature modulator, which removes the difficulties in adjusting the DC offset and quadrature imbalances, the system eliminates a large source of error vector magnitude (EVM). The main drawback of the architecture in figure 1-35 is that the system requires the use of high linearity wideband upconversion and linearized power amplifier because all of the N carriers are passing through the same PA. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

37

[email protected]

As an illustrative example, consider that the system in Figure 1-35 is used to transmit 16 multicarriers, each transmitting a QPSK modulated signal at 4.096Mbps with an oversampling factor of 16. The total sample rate out of each carrier branch in Figure 1-35 is, ⎛ 4.096 ⎞ (1-71) Fclock = 16 sps⎜ Msps ⎟ = 32.768MHz ⎝ 2 ⎠ To keep images from aliasing, the output frequency of the DDS used for the NCOM is usually kept at 40% of BW FClock . This means that Fclock ≥ , where BW = ( N ch ∆f + FIF ) is the total bandwidth occupied by the 0 .4 multicarriers. The 4.096Mbps modulation is assumed to use 5MHz of bandwidth. The FIF frequency can be placed at zero Hz; however, this may result in distorting the lower edge of the first channel. By moving the first channel up 5MHz, the occupied bandwidth is now from 5MHz to 90 MHz. The total bandwidth is then given by BW = (16 x5Mhz + 5Mhz ) = 85Mhz and the DDS clock will be Fclock = 85 / 0.4 = 212.5Mhz . Since the overall interpolation required is 16, half band filters can be used to keep the system complexity at a minimum. For the multicarrier system in figure 1- 28, the D/A converter can exhibit an amplitude frequency whose distortion is dependent on the final output spectrum. This amplitude distortion is a low pass filter function given by

H( f ) ≥

sin(π f Fclock ) π f Fclock

(1-72)

For Fclock = 212.5MHz , at the upper edge of 85 MHz, the total roll-off loss due to the sin(x)/x amplitude attenuation is -2.42dB. One method to compensate for this distortion is the inverse sin(x)/x equalizer [15]. Using a 32-bit DDS for generating the numerical local oscillator signal, with FClock = 212.5Mhz , the NCO is

Fclock , where NCOword is the programmable phase 2 32 vary from NCOword = 0 to NCOword = 231 and

programmed using the relations of FTX _ Ch = NCOword register value in the DDS which can FTX _ Ch1 = {5Mhz ,10 Mhz ,15Mhz ,.......85Mhz}.

In this chapter we have surveyed in detail most of the RF subcomponents used in various topologies of radio design. Next we will examine the radio receiver digital baseband section, where demodulation and decoding take place.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

38

[email protected]

DAC/LPF fTX 1

LO-3

90

0

PA

0

PA

0

PA

DAC/LPF fTX 2

LO-2

90

DAC/LPF

Channel Combineer

Wideband Matrix of multi user data

DAC/LPF

DAC/LPF fTX

LO-N

90

DAC/LPF

Figure 1- 34: Conventional semi digital multicarrier transmitter architecture

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

39

[email protected]

Wideband Matrix of multi user data

Filter

Interpolator 1

Interpolator 2

NCOM 1

Filter

Interpolator 1

Interpolator 2

NCOM 2

f ch1

digital adder

+

f ch 2

+

Sin(x)/x D/A

fIF

RF Upconverter

Final LO

fTX

Filter

Interpolator 1

Interpolator 2

NCOM N

f chN

+

Figure 1- 35: All digital Multicarrier transmitter.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

40

[email protected]

PA

1.16

References

1. Won Namgoog, and Teresa H. Meng, “Direct-Conversion RF Receiver Design,” IEEE Trans. Commun. Vol. 49 No. 3, pp. 518 – 529, March 2001. 2. Behzad Razavi, “Design Considerations for Direct-Conversion Receivers,” IEEE Trans. Circuits and Systems-II, vol. 44 No. 6, pp. 428 – 435, June 1997. 3. Wu, S.; Razavi, B., “A 900-MHz/1.8-GHz CMOS receiver for dual-band applications,”, IEEE Journal of Solid-State Circuits, Volume: 33 Issue: 12, Dec. 1998, pp. 2178 –2185. 4. Chun-Chyuan Chen and Chia-Chi Huang, “ On the architecture and performance of a hybrid image rejection receiver,”, IEEE Trans. Commun. Vol. 19 No. 6, pp. 1029 – 1040, June 2001. 5. Jack Glas, “ Digital I/Q imbalance compensation in a low-IF receiver,”, IEEE. 6. Fred Harris, “Digital filter equalizer of analog gain and phase mismatches in I-Q receivers,”, IEEE. 7. Kong-pang, Jose Franca, and Azeredo-Leme, “Wideband digital correction of I and Q mismatch in quadrature radio receivers,” 8. Tien-Yow, Staurt Golden, and Naiel Askar, “A spectral correction algorithm for I-Q channel imbalance problem,”, IEEE. 9. Mikko Vakama, Markku Renfors, and Visa Koivunen, “Compensation of frequency-selective I/Q imbalance in wideband receivers: models and algorithms,”, IEEE 10. Hiroshi Tsurumi, Miyuki Soeya, Hiroshi Yoshida, Takafumi Yamaji, Hiroshi Tanimotot, and Yasuo Suzuki, “ system-level compensation approach to overcome signal saturation, DC offset, and 2nd-order nonlinear distortion in linear direct conversion receiver,”, IEICE Trans. Elctron., Vol. E82-C, No. 5, May 1999. 11. Seiichi Sampi, and Kamilo Feher, “Adaptive DC-offset compensation algorithm for burst mode operated direct conversion receivers,”, IEEE. 12. J. H. Mikkelsen, T.E. Kolding, T. Larsen, T. Klingenbrunn, K.I. Pedersen, and P. Morgensen, “Feasibility study of DC offset filtering for UTRA-FDD/WCDMA direct-conversion receiver,”, IEEE 1999. 13. www.analog.com 14. www.intersil.com 15. Alan V. Oppenheim, Ronald W. Schafer , Discrete-Time Signal Processing, Prentice Hall Signal Processing Series, 1999. 16. Bernard Sklar, Digital Communications: Fundamentals and Applications, 2nd Edition, 1999. 17. Jeff Dekosky, Fred Martin, and Jeff Rollman, "Offset PLL Analysis can cut spurious levels," RF& Microwaves, Nov. 1999. [email protected]

41

18. www.Tropian.com. 19. www.ti.com 20. Mohamed K. Nezami, “Performance Assessment of Baseband Algorithms for Direct Conversion Tactical Software Defined Receivers: I/Q Imbalance Correction, Image Rejection, DC Removal, and Channelization,”, IEEE Milcom Conf. , Nov. 2002.

[email protected]

42

Chapter 2 Introduction to Coherent Demodulation Wireless receivers process signals that bear information as well as disturbances caused by the transmitter/receiver circuits and channel impairments such as fading, interference, and additive white Gaussian noise (AWGN). Usually, the receiver knows only some statistical properties of the signal and disturbances. From these statistical properties and using a finite observation of the received signal, the receiver is able to estimate the transmitted data symbols. The receiver makes decisions on the received data using locally generated symbol clock and carrier oscillator, both of which are not referenced to the actual versions used to generate the data at the transmitter. The receiver has to estimate the offset between locally generated carrier and symbol clock to those used at the transmitter. Clock mismatches are labeled as symbol timing jitters, while local carrier mismatches are labeled either as phase rotation errors or frequency offset errors. Symbol timing synchronization is the process in which the receiver estimates the offset between the locally generated symbol clock at the receiver and the actual symbol clock used at the transmitter local clock. The receiver then uses the offset estimate to correct the free running local clock. This clock, unless matched to the transmitter clock, will cause the receiver symbol decision circuitry to sample the symbols at the wrong instance, resulting in detection errors. Carrier phase recovery is the process in which the receiver estimates the offset between the local oscillator phase and the actual phase of the transmitted carrier. Carrier frequency offset recovery is the process of estimating the offset between the frequency drift/change of the local oscillator and the actual (received) carrier frequency transmitted at the transmitter. For burst type of TDMA transmissions, frame synchronization is also needed. Typically, the frame is detected using uniquely coded words that are inserted at the beginning and end of each burst [1,2,3,4]. 2.1 Order of Synchronization in Digital Receivers Ideally, symbol timing errors, carrier frequency offset and carrier phase rotation have to be jointly estimated. Due to the unmanageable complexity, practical schemes employ a sequential estimation approach when the initial offsets are within acceptable limits. Notice that accurate phase estimation requires prior knowledge of symbol timing and frequency offset estimates, while frequency offset estimation requires prior knowledge of symbol timing. Thus joint phase-frequency estimation is not possible. However, the frequency and symbol timing errors can be estimated jointly, as will be shown in the next chapters. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

1

[email protected]

Practically, the flow of the synchronization process is carried out as a series of processes, as shown in Figure 2-1. The process is implemented in the following sequence of estimations: First the digital baseband is obtained using an analog-to-digital (A/D) converter whose sample timing frequency is free running,. This introduces symbol timing errors because it is physically impossible for the A/D clock to match the symbol clock rate used at the transmitter. After the signal is properly filtered and maintained at a proper level, timing offset is estimated first and corrected, followed by frequency offsets and phase rotational offsets estimation and correction. Normally frequency-offset estimation (and correction) assumes a signal with negligible timing errors, while phase estimation (and correction) assumes negligible frequency variation during the estimation interval. Though some frequency estimation schemes exist that do not require symboltiming information – which we will discuss in the coming chapters - these algorithms may not be as optimal. Nevertheless, they provide reasonably close estimates during initial carrier search and acquisition.

A/D

Analog Baseband sugnal

Symbol Timing recovery

Carrier recovery

Data detection

Binary data

Digitized Baseband signal

Figure 2-1: Flow of carrier ands symbol timing recovery.

2.2 Impact of Symbol Timing Coherency on Demodulation Although the symbol rate is typically known to the receiver, what is not known is when to sample the received signal, and thus knowing the starting sample of a symbol (i.e., symbol boundary). Sampling at the wrong instance and then integrating and dumping will cause interference and thus reduction in the bit error rate (BER). Figure 2-2 illustrates the impact of symbol timing error on impact of BPSK symbol timing error on BER. The simulations show that with an error of 20% of the symbol timing period, the BER degradation is 3dB at BER of 10-3.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

2

[email protected]

10

-1

τ = 0.3T

Bit-Error-rate

10

10

-2

τ = 0.2T

-3

τ = 0.1T 10

10

10

-4

Ideal BPSK

-5

-6

0

1

2

3

4

5 S NR, dB

6

7

8

9

10

Figure 2- 2: Impact of symbol timing error (error=10%, 20%, and 30% of symbol interval T) on BPSK demodulations.

2.3 Illustration Example : Synchronization presence form IF to baseband Use the digital IF receiver frontend in Figure 1-11 to illustrate mathematically the impact of carrier offsets and symbol timing on the received signal. Figure E2-1 illustrates the envisioned digital signal processing of the front-end IF section preceding the proposed synchronization and channel estimation algorithms. Here the input RF signal is given by r (t ) = g (t )a(t )e − j 2π ( f c + ∆f +θ c +φ )t + n(t ) where f c is the carrier frequency, ∆f is the unknown frequency offsets due to Doppler and other TX-RX uncertainties, θ c is the carrier arbitrary phase due to the channel, ϕ is the M-ary phase modulations that are CPFSK for MUOS, a (t ) is the fast fading channel gain due to multipath fading, and g (t ) is the slow channel gain due to blockage or propagation losses. This signal is first down converted to an intermediate frequency (IF) that is within the range of the analog to digital converter (A/D). With current commercial A/Ds, this is of the order of a 100MHz. As a result, the digitized IF signal is given by y k = g k a k e − j (2π (∆f + f DIF )Ts +θ k +φk ) + nk

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

3

[email protected]

where f DIF is the digital IF frequency that results from a Nyquist region choice, Ts is the A/D sampling time that is N-times the symbol rate received ( Ts = T N ), and the rest of the terms correspond to the discrete sampled representation of the parameters associated with the received signal that has to be estimated and corrected. Following the A/D is an additional stage of digital frequency translation that will attempt to bring the digital IF frequency down to baseband that is given by y k = g k a k e − j (2π∆f ( kTs +τ ) +θ k +φk ) + nk

For long term signal changes and to keep the A/D input signal constant and centered, an automatic gain controller (AGC) is used. The digital baseband samples from the digital tuner (DDC) are used to compute the loss in the signal drive into the A/D. After the AGC, the signal in (3) contains the undesired and unknown parameters that prohibit demodulation and detection of the transmitted information. These impairments are due to synchronization errors and channel distortion: •

Carrier frequency offset, ∆f .



Carrier phase offset, θ c .



Fast fading channel gain, a k .



Slow fading channel gain, g k .



Symbol timing offset, τ .

This final signal is also at unnecessary multiple samples per symbols, since only a fractional ratio of samples per symbols (N=4, 8, 16, 32 ..etc) are commonly needed by the subsequent following demodulation algorithms. The process of reducing the sample rate is carried out using digital decimation filters implemented using cascaded integrator comb (CIC) filters that are free of complex multiplication. The frequency response of these filters is usually loose, and thus offers no pre-selection or filtering of adjacent channel interference (ACI). Since the decimator filters do not offer any kind of noise shaping or ACI filtering, a high order (256, or 128) tap FIR filter is usually required after decimation and before passing the final signal to the following acquisition and tracking algorithms.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

4

[email protected]

r (t ) RF section

IF section

X

A/D

e − j 2π ( f − f )t IF ~ 100 Mhz c

1 Ts ~ 100Mhz fs =

IF

CIC ↓

DDC

e 2πf

N

NCO kTs

y (kTs )

FIR

y (kTs ) = g k ak e j (2π (kT +τ )∆f +θ +ϕ ) + nk s

where Ts =

k

T N

AGC

E2-1: Illustration of sample signal processing used before acquiring, tracking and demodulating the signal.

2.4 Impact of Carrier Coherency on Demodulation The impact of carrier phase and frequency offset on the received signal can be illustrated by the following. Assume that the received signal intermediate frequency (IF) in AWGN channel is given by

s (t ) = 2 P cos(2πf ct + φ (t ) + θ (t ) ) + n(t )

(2-1)

where P is the power level of the received down converted IF signal, f c is the carrier frequency in Hz, θ (t ) is the carrier time varying phase offsets, and φ (t ) is the baseband symbols represented as phase modulations. For M-PSK modulations, φ (t ) is given by

φ (t ) =

2πm M

(2-2)

where m = 0,1,2,.....M − 1 , and for BPSK M=2, and for QPSK M=4. The first thing that the received signal undergoes in a typical digital received is its down conversion to baseband by mixing with a local oscillator that has a frequency that is as close as possible to this frequency but has a random varying phase. The down conversion process is shown in Figure 2-3. The quadrature and in-phase signals of the local oscillator is are given by − sin(2πf LO t + θˆ) and cos(2πf LO t + θˆ) respectively. The resultant baseband quadrature at the output of the low pass filter (LPF) is given by

(

)

(2-3)

(

)

(2-4)

i(t ) =

P cos 2π∆ft + φ (t ) + θ (t ) − θˆ(t ) + ni (t ) 2

q(t ) =

P sin 2π∆ft + φ (t ) + θ (t ) − θˆ(t ) + nq (t ) 2

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

5

[email protected]

where ∆f = f c − f LO carrier frequency offset due to the mismatch between the local oscillator frequency and the carrier frequency due to various reasons that will be discussed later and that the carrier phase offset is given by the value of θ (t ) − θˆ(t ) ??? . The presence of the carrier phase and frequency offsets cause the MPSK signal to deviate from its optimal constellation and thus produce bit errors due to the time varying rotation caused by the phase and frequency offsets. i (t )

LPF

cos(2πf LO t + θˆ) s (t )

Baseband Signal processing

Local oscillator

Detected data

− sin( 2πf LO t + θˆ) LPF

q (t )

Figure 2- 3: Basic Quadrature detection of MPSK signal.

The BER for QPSK signals as a function of carrier phase offset is given by ⎛ 2 Eb Pe = Q⎜⎜ (cosθ − sin θ ) No ⎝

⎞ ⎛ ⎟ + Q⎜ (cosθ + sin θ ) 2 Eb ⎟ ⎜ No ⎠ ⎝

⎞ ⎟ ⎟ ⎠

(2-5)

⎛ 2 Eb ⎞ ⎟ with perfect synchronization, where Q(x ) is the error compare to Pe = 2Q⎜ ⎜ N ⎟ o ⎠ ⎝ function. The BER deterioration in (2-5) due to carrier offset can be interpreted as power implementation loss (distance loss) given by

Dθ = 20 log10 (cos θ − sin θ )

(2-6)

Likewise, for the BER degradation for QPSK signals is given by ⎛ 2 Eb Pe = Q⎜⎜ cos θ No ⎝

⎞ ⎟ ⎟ ⎠

(2-7)

and the power implementation loss due to the synchronizer is given by Dθ = 20 log10 (cos θ )

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

(2-8)

6

[email protected]

Figure 2-4 shows Equation (2-6) and Equation (2-8) plotted for the range of 0o ≤ θ ≤ 40 o . As an example, a carrier phase error of 15 degrees causes ~2.75 dB loss for QPSK signals, and only 0.3 dB loss for BPSK signals.

5 4.5 QPSK

4 3.5

Loss-dB

3 2.5 2 BPSK

1.5 1 0.5 0

0

5

10

15 20 25 Carrier phase offset -deg

30

35

40

Figure 2- 4: Implementation loss due to carrier phase offset for BPSK and QPSK.

To further illustrate the effect of carrier and frequency offsets on MPSK, consider the 2PSK signal (BPSK). Here, if the carrier has no phase and no frequency offsets, the energy will be totally concentrated in the in-phase channel as shown in Figure 2-5. The baseband signal in (2-3) without phase offset is now given by,

i(t ) =

P cos(φ (t ) ) + ni (t ) 2

(2-9)

and a quadrature signal in (2-4) is given by

q (t ) =

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

P sin (φ (t ) ) + nq (t ) 2

(2-10)

7

[email protected]

Since the 2-PSK symbols are given by φ (t ) = πm , with m=0 or 1, the baseband signal is then i(t ) ∈ {+ 1,−1} and q(t ) = 0 ( or only residual AWGN) as shown in figure 2-5 and hence has the undistorted constellation shown in Figure 2-6. However, when considering carrier phase offsets, the quadrature part will no longer be zero., As a result of the carrier phase offsets, the constellation of BPSK will look like that shown in Figure 2-7 and also as illustrated by the constellation in Figure 2-8.

The impact of frequency also can be interpreted in term of phase. For a given frequency offset ∆f , the error in degrees that this frequency offset inflict on the symbols is given by

θ ∆f = ∆fT 360 where T is the symbol time duration. From this, the error per sample can be calculated as,

θ ∆f =

∆f 360 fs

(2-11)

For instance, if the frequency offset associated with an IF 70 Mhz signal is ∆f = 100khz , the phase rotation per sample due to this frequency offset is given by 105 θ ∆f = 360 = 0.5o / sample . For a symbol that is over sampled by 4 samples/symbol, 7 7.10 the total phase error rotation per symbol is almost 2 degrees. In addition to the phase rotations, the offset also results in a drop in the matched filter power output given by

D∆f

⎛ sin(2π∆fT ) ⎞ ⎟⎟ = ⎜⎜ ⎝ 2π∆fT ⎠

2

(2-12)

where T is the symbol rate. Such loss translates directly into BER deterioration. For instance, with 10 kbps transmission rates, and a 1 kHz carrier frequency offset, the loss due to the frequency offset is ~0.6 dB loss in Eb/No link budget [5].

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

8

[email protected]

2

real

1 0 -1 -2

0

500

1000

1500

2000

2500

3000

0

500

1000

1500

2000

2500

3000

2

imag

1 0 -1 -2

Figure 2- 5: Ideal BPSK baseband signal received with no frequency offsets, ∆f = 0 and no carrier phase offsets, θ (t ) − θˆ(t ) = 0 . BPSK

1.5 1

Quadrature

0.5 0 -0.5 -1 -1.5

-1.5

-1

-0.5

0 0.5 In-Phase

1

1.5

Figure 2- 6: Ideal BPSK baseband signal constellation when received with no frequency offsets, ∆f = 0 and no carrier phase offsets, θ (t ) − θˆ(t ) = 0 .

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

9

[email protected]

2

real

1 0 -1 -2

0

500

1000

1500

2000

2500

3000

0

500

1000

1500

2000

2500

3000

2

imag

1 0 -1 -2

Figure 2- 7: Ideal BPSK baseband signal received with no frequency offsets, ∆f = 0 and a carrier phase offsets, θ (t ) − θˆ(t ) = 10 o . BPSK with phase shift

1.5 1

Quadrature

0.5 0 -0.5 -1 -1.5

-1.5

-1

-0.5

0 0.5 In-Phase

1

1.5

Figure 2- 8: Ideal BPSK baseband signal constellation received with no frequency offsets ∆f = 0 , but with a carrier phase offset of θ (t ) − θˆ(t ) = 10 o .

Figure 2-9 shows the BPSK shown in Figure 2-5 after having been subjected to frequency offset of ∆f = Rb / 100 , where Rb is the data rate. Notice the quadrature part that is normally only noise has gained a significant portion of the signal, since the carrier offset rotates the constellation from 0 and 180 degree by 2π∆fkT either clockwise or counter Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

10

[email protected]

clockwise depending on the sign of ∆f relative to the carrier frequency, which in this case is the baseband frequency (i.e. 0 Hz). The impact also can be illustrated by inspecting the constellation shown in Figure 2-10 for an offset of ∆fT = 10 −2 and Figure 2-11 for a smaller offset of ∆fT = 10 −3 , which shows clearly that for large frequency offsets (relative to the data rate 1/T) the familiar BPSK does not resemble any BPSK constellation as that shown in Figure 2-6. 2

real

1 0 -1 -2

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800

1000

1200

1400

1600

1800

2000

2

imag

1 0 -1 -2

Figure 2- 9: Ideal BPSK baseband signal constellation received with no frequency offsets, ∆f = Rb / 100 and a carrier phase offsets, θ (t ) − θˆ(t ) = 0 . BPSK with frequency shift

1.5 1

Quadrature

0.5 0 -0.5 -1 -1.5

-1.5

-1

-0.5

0 0.5 In-Phase

1

1.5

Figure 2- 10: Ideal BPSK baseband signal constellation received with frequency offsets of ∆f = Rb / 100 and a carrier phase offsets, θ (t ) − θˆ(t ) = 0 . Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

11

[email protected]

1.5 1 0.5 0 -0.5 -1 -1.5

-1.5

-1

-0.5

0

0.5

1

1.5

Figure 2- 11: Ideal BPSK baseband signal constellation received with frequency offsets of ∆f = Rb / 1000 and a carrier phase offsets, θ (t ) − θˆ(t ) = 0 .

The same impact is also experienced by QPSK signals as shown in Figure 2-12 for QPSK baseband signal with phase offset θ (t ) − θˆ(t ) = 10 o and a frequency offset of ∆f = 0 . Again, with large frequency offsets, the QPASK signal will rotate clockwise as shown in Figure 2-13 for a frequency offset of ∆f = Rb / 100 . Notice that with the presence of carrier frequency offset, BPSK signals and QPSK signals are indistinguishable. QPSK with phase shift

1.5 1

Quadrature

0.5 0 -0.5 -1 -1.5

-1.5

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

-1

-0.5

0 0.5 In-Phase

1

1.5

12

[email protected]

Figure 2- 12: Ideal QPSK baseband signal constellation received with no frequency offsets, ∆f = 0 and a carrier phase offsets, θ (t ) − θˆ(t ) = 10 o . QPSK with frequency shift 2 1.5

Quadrature

1 0.5 0 -0.5 -1 -1.5 -2 -2

-1

0 In-Phase

1

2

Figure 2- 13: Ideal BPSK baseband signal constellation received with no frequency offsets, ∆f = Rb / 100 and a carrier phase offsets, θ (t ) − θˆ(t ) = 0 .

Next we detail the classification of algorithms that are used to resolve and correct both carrier frequencies so that the decoding is carried out properly. 2.5 Classification Based on Implementation Approaches

From the synchronization implementation point of view, synchronization circuits can be divided into two broad categories, viz., •

Feedback (FB) synchronization



Feedforward (FF) synchronization.

The feedback synchronization systems shown in Figure 2-14 involve the use of some form of phase locked loop (PLL) [5]. Although very popular and well understood, the emergence of burst type transmissions, that have become available with fast digital signal processors means that designs which are based on robust feedforward topologies are becoming prevalent. The reasons for departing from feedback topologies for bursty type time division multiplexing access (TDMA) are their slow algorithmic convergence and their inability to be implemented fully in the digital domain. An example of a feedback scheme includes the well known Costas loop used for carrier recovery in satellite and point-to-point systems [6,7]. Costas and PLL based carrier synchronization schemes typically have loop bandwidths ( BL ) on the order of one thousandth of the symbol rate Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

13

[email protected]

for optimal carrier phase tracking. As loop-settling times are proportional to 1 / BL , such loops require significant time to achieve stable synchronization. Thus for TDMA transmissions with data rates of a few hundred kbps, a duration of few hundred symbols would be required to achieve synchronization. Typically, feedback clock recovery schemes use a nonlinearity (such as a diode rectifier) to obtain a spectral line from the received random binary data, which is then fed into a PLL for tracking [1]. This technique is affected by the clock frequency deviation caused by the narrow loop bandwidth used and the increase in noise as a result of the nonlinearity employed. For frequency offset recovery, wide bandwidth AFC loops [8] are used for large frequency offset correction (Figure 2-14). Often, AFC loops are used in conjunction with a feed forward synchronizer [8]. Since AFC uses wide loop bandwidth to achieve fast response, its tracking operation is inaccurate, so a carrier phase tracking is still needs to be implemented as a separate entity in addition to the AFC loop that proceeds it . Feedforward (FF) synchronization systems shown in Figure 2-15 perform digital openloop estimation of unknown random parameters (timing, phase, and frequency offset) from the incoming matched filter samples. One of the attractive characteristics of this method is the absence of hang up and cycle slip [9,10] problems since there is no feedback (estimates are updated block by block). Cycle slips dodge conventional PLL and Costas loops. The absence of cycle slipping and hang-ups allows rapid acquisition of short burst type signaling. Furthermore, digital signal processing (DSP) implementation of FF synchronizers can make the receiver design more flexible and less expensive. Further, in FF systems, there is no feedback path from the synchronizer to the analog front-end of the receiver, which allows modular design and independent testing, resulting in rapid product development. Based on the ways of decoded data, feedforward synchronization algorithms are also further classified into the following types: •

Data-Aided (DA) feedforward synchronization systems



Decision-Aided (DD) feedforward synchronization systems



Non-Data Aided (NDA) feedforward synchronization systems

Data-Aided (DA) systems: Data aided synchronizers [11,12,13] are implemented by incorporating known preamble or amble symbols that are used by the feedforward loop to aid in the estimation. The disadvantage of DA systems is that they require an overhead transmission for the preamble/ample symbols, which is not desirable for short duration TDMA bursts, since it reduces the spectral efficiency. Decision-Directed (DD) systems: Decision-directed synchronizers [5,13] use an estimate of the data and not the true data extracted from the transmitted preamble. The Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

14

[email protected]

performance of these algorithms is only optimal at high SNRwhere its performance approaches the performance of DA when the signal-to-noise ratio (SNR) is high. Non-Data Aided (NDA) systems: Neither data nor any decision on data is used in this technique [14,15] for obtaining the estimates of synchronization parameters. Instead, it averages over the data to obtain reliable estimates. At low SNR, NDA is the only available preamble-less rapid technique to estimate phase, frequency, and symbol timing. The main disadvantage of the NDA system is that it degrades heavily once the received signal has been distorted by multipath fading. Still, at low SNR it operates more reliably than its DD/DA counterparts. DA synchronizers perform well under fadingbecasue they rely on a training sequence. Again, this can work reliably only at high SNR since the synchronizer performance is based on the correctness of the detected training preamble.

Both feedback and Feedforward synchronization systems will be detailed in subsequent chapters. Next, however, we detail the methods employed for modeling synchronization systems using computer tools to study them and verify their algorithms. The models are used in conjunction with Monte-Carlo simulation approaches to study the impact of receiver and transmission impairments on the synchronization algorithms that are detailed throughout the book.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

15

[email protected]

Antenna

External AGC loop

Loop Filter

D/A

RF/IF

AGC Loop

Q(kT) Interpolator

X

I (kT )

τˆ

e NCO

(

− j 2π∆fˆkT +θˆk

)

X

Carrier tracking Loop

+

Symbol detection/decoding Phase offset error

θˆk

2π πkTf ∆fˆ

Loop Filter

Frequency offset error

Loop Filter

Symbol timing error

Symbol Timing Loop Loop Filter

Figure 2- 14: Feedback Synchronization system

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

16

[email protected]

RF IN

Timing estimation and correction

Frequency estimation and correction

I (k ) RF section

Interpolator/ Decimator

Matched filter

Phase estimation and correction x

x

Decoder/ Receiver Display

Q(k ) τˆ Timing estimator

∆fˆ ∆f

Timing Post Processing

Frequency estimator

Phase post processing

Phase estimator

θˆ

Frame estimation

Figure 2- 15: Feed forward Synchronization system.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

17

[email protected]

2.6 Modeling Channel Impairments and Synchronization Errors

In order to investigate the performance of the synchronization algorithms overviewed in this chapter, a computer model for the digital transceiver is developed for generating the symbols, matched filter, shaping filter, and transmission channels for fading and AWGN shown in Figure 2-16. This model has provisions for injecting synchronization errors into the transmitted signal for testing purposes. The criteria for evaluating the synchronized algorithms are carried out using the mean and variance of the estimated parameter from the received signal. One way to achieve this is to introduce synchronization errors, then, since the introduced synchronization errors are known prior, this task is simplified(?) This will be the method by which synchronization performance is evaluated throughout the coming chapters. Figure 2-16 shows a computer model for the digital burst transmitter for generating the QAM symbols, matched filter, shaping filter, and transmission channels for fading and AWGN. The input variables to the model are a set of parameters given by

{θ TX , ∆f TX ,θ RX , ∆f RX , v, n(kT ),α , ε }

(2-13)

where {θ TX , θ RX } are phase errors given in radians introduced at the transmitter and receiver respectively, v is the velocity of the moving mobile receiver given in meters/sec, ε is the timing error introduced at the receiver by non-synchronous sampling given in fractions of a symbol interval εT, where 0 0 ⎩

(4-8)

ˆ i is the DBPSK demodulator data decision and is given by where m

mˆ i = I i−1I i + Qi−1Qi

(4-9)

Again, symbol-timing loops that are based on the error signal in (4-8) will only update the loop error signal if the cross product of the adjacent symbols is not positive.

I k −3

I k −2

I k −1

Ik

I jIi

ek

Q j Qi

Q k −3

Q k −2

Qk −1

dˆk = Ii Ii −1 + Qi Qi −1 < 0

Qk

Figure 4- 4: DBPSK symbol timing error detector

4.1.3 Early-Late Gate Symbol Timing Detector

The Early-Late Gate timing error detector generates its error by using the samples that are earlier and later compared to the ideal sampling point. This timing error requires at least three samples per symbol. The difference in the amplitude of the samples of both early Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

5

[email protected]

and late is the error signal as shown in Figure 4-5 and the middle sample (on time sample) is the actual symbol-timing clock. That is

ek = y e , k − y l , k

(4-10)

On-time sample

Late-sample

5

Early -sample

So for the case illustrated in Figure 4-5, the timing error signal is given by ek = 3 − 3 = 0 . Consider now the case where the symbol sample is sampling the symbol a little early as shown in Figure 4-6, the timing error signal is then given by ek = 3.3 − 2.7 = 0.6 . Furthermore, consider also the scenario in Figure 4-7, where the sample clock of the symbols is fast. Here the timing error signal is given by ek = 2.7 − 3.3 = −0.6 . Figure 4-8 illustrates how a symbol timing recovery loop that uses the early-late timing algorithm converges to the optimal symbol timing. Here an alternating sequence of 1010101 is used to train the timing loop. The error detector starts at a late instance and gradually the loop steers the symbol-sampling clock to sample the bits at the middle of the symbol.

3 one symbol

τe

τl

τ ot

Figure 4- 5: Early-Late timing error detector with ideal timing (early sample =late sample).

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

6

[email protected]

Late-sample

On-time sample

Early -sample

5 3.3 2.7 one symbol

τe

τl

τ ot

Late-sample

On-time sample

Early -sample

Figure 4- 6: Early-Late timing error detector with early timing error offset.

5 3.3 2.7 one symbol

τe

τl

τ ot

Figure 4- 7: Early-Late timing error detector early timing error offset.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

7

[email protected]

Input signal

0.8

Early-Late timing Error

0.6

Symbol timing

0.4 0.2 0 -0.2 -0.4 -0.6 0

50

100

150

200

250

300

350

Sample #

Figure 4- 8: Symbol timing recovery based on the early-late timing algorithm.

4.1.4

Muller-Muller (M&M) Symbol Timing Detector

The M&M symbol timing detector [5] uses only one sample per symbol. The timing error is given by

ek = yk dˆk −1 − yk −1dˆk

(4-11)

Consider the scenario shown in Figure 4-9 where the data decision

dˆk −1 = −1 ,

and

dˆk = +1

that are obtained by taking the sign of the sample, causing the symbol timing error (4-11) that is given by ek = (− .5)(+ 1) − (0.8)(− 1) = +0.3 . Consider another scenario (late) shown in Figure 4-10. Here the symbol timing error is given b ek = (− 0.8)(+ 1) − (0.5)(− 1) = −0.3 . As with the mid-phase integration error detector in Equation (4-4), the M&M timing detector algorithm is also sensitive to carrier phase offsets.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

8

[email protected]

yk −1 = 0.8

previous symbol

current symbol

dk

dk − 1

yk = −0.5

Figure 4- 9: Sampling is slower than the optimal instance.

y k −1 = 0.5 previous symbol

current symbol

d k −1

dk

yk = −.8

Figure 4- 10: Sampling is faster than the optimal instance.

Illustrative example: Figure E4-1 illustrate the use of midphase or what is also known as zero crossing timing detector. As an illustration, assuming 10 samples per symbol, the timing error detector is implemented as follow, N=10 % sps for i=ns+1:length(I) tau(i)=I(i-N/2)*(sign(I(i))-sign(I(i-N))); end;

Notice that when there is no symbol transition, that is I(n)=I(n-1), there is no timing update, as illustrated in the figure. The correct symbol timing will be N/2 samples from the zero crossing point.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

9

[email protected]

1.5

Symbol timing

Timing Error

1

Input signal 0.5

0

-0.5

-1

-1.5 1300

1350

1400

1450

1500

Sample #

Figure E4-1: Illustration of the zero crossing symbol timing detector discussed above with 10 samples per symbols for shaped BPSK. 4.1.5 Gardner BPSK Symbol Timing Detector

The Gardner symbol timing detector algorithm is probably the most widely used symbol timing error detector in MPSK modulations. This detector uses two samples per symbol and has the advantage of being insensitive to carrier phase errors [3]. Using a complex signal representation of the symbols, the timing error is given by

ek = ( y k − y k − 2 ) y k −1

(4-12)

y k , y k − 2 , and y k −1 are shown in Figure 4-11. The time offset between y k , and y k − 2 is one symbol period. The time between y k and y k −1 is a half where the samples

symbol as shown in Figure 4-11. Notice that if there is no data transition, the value of y k − y k − 2 is zero, thus the Gardner timing does not update the timing correction loop unless there is a data transition. The data transition y k − y k − 2 also automatically supplies the polarity and the slope of the error to steer the timing correction in the right direction. That is ⎧− 1, y k = 0 , y k −2 = 1 y k − y k −2 = ⎨ (4-13) ⎩ + 1, y k = 1 , y k − 2 = 0 Consider the situation of the received samples shown in Figure 4-11., Here the timing error using the Gardner detector in Equation (4-12) is ek = 0.2(− 0.8 − 0.8) = −0.32 . Likewise, if the sampling clock as that shown in Figure 4-12, the Gardner timing detector is ek = 0.0(− 0.8 − 0.8) = 0 , indicating correct sampling instance, since the error is zero. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

10

[email protected]

yk −2 = 0.8

previous symbol

current symbol

dk −1

dk yk−1 = 0.2 T 2

yk = −0.8

T

Figure 4- 11: Gardner timing error detector

y k −2 = 0.8

previous symbol

current symbol

dk −1

dk yk −1 = 0.0

T 2

yk = −0.8

T

Figure 4- 12: Gardner timing error detector with no timing error.

4.1.6

Gardner QPSK Symbol Timing Detector

For QPSK modulations, the Gardner error-timing detector in Equation (4-12) is given by e k = (I k − I k − 2 )I k −1 + (Q k − Q k − 2 )Q k −1

(4-14)

1 1 Where I k −1 = I (t − T ) , and Q k −1 = Q (t − T ) , in the continuous time domain. The 2 2 timing error detector in equation (4-14) can be physically understood as follows: The timing error detector samples the quadrature (complex) signal between the strobe times, if there is a data transition, ( (I k − I k − 2 ) ≠ 0 , (Q k − Q k − 2 ) ≠ 0 , The average mid-way samples will be zero in the absence of a timing error, otherwise, the timing error is a nonDigital Receiver Design Dr. Mohamed Khalid Nezami © 2003

11

[email protected]

zero midway sample and the slope necessary for the error steering mechanism is supplied by the difference between the strobes, (Q k − Q k − 2 ) , and (I k − I k − 2 ) . The product between this slope and the mid-way sample provides the proper error magnitude and direction. Figure 4-13 illustrates both the acquisition and tracking of an error timing loop. The loop filter used in Figure 4-13 is the same topology as those illustrated with carrier phase tracking in Chapter 3.

Although the Gardner timing detector in (4-14) is independent of carrier phase, it is still affected by frequency offset. As a result of this frequency error, the symbols will have an additional phase rotation that is given by ∆θ =

Rs 3600 fs

(4-15)

Rs is the ratio of symbol rate to sample rate. Assume that a 2400 symbols per fs second wireless radio utilizing the Gardner timing detector has a residual carrier error of 120 120Hz. The phase rotations due to the 120Hz frequency offset is ∆θ = 360 0 = 18 0 . 2400 This phase shift associated with the symbols causes the timing error signal to be reduced 180 by the factor cos = 0.987 . For a larger frequency offset of 400 Hz, the loss is 2 60 cos = 0.5 or 3 dB, which is severe for this case. A rule of thump, if the normalized 2 frequency offset is of the order of ∆fT = 10 −2 , is that the degradation of the Gardner detector is considered negligible. where

Figure 4-13 shows QPSK symbol timing detection and tracking using the Gardner timing detector in conjunction with the loop filter derived earlier for carrier recovery in Chapter 3 (see figure 3-6) The interpolator filters are used as a way of correcting the symboltiming instances by sample interpolation methods (i.e., resampling). The interpolator used here can be either a Farrow filter or simply a sinc interpolator function. In Chapter 6 we will detail the use of Farrow filters for symbol timing correction. Here we will detail the use of the raise-cosine-filter (RCF) which was introduced in Chapter 2 as a matched filter that is also used to correct the timing sampling instance as shown in Figure 4-13.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

12

[email protected]

1 / 2T sign(x)

IF/BB

I ADC

interpolator

I

Base Band filtering

Q interpolator

Q

sign(x)

Ki

4/T

Kp System Clock

1/ T

Sign(x1)=+1

Figure 4- 13: BPSK symbol timing tracking using the Gardner symbol timing detector and interpolator.

4.2 Timing Correction Interpolator Filters

This section presents a method and procedure for using a RCF pulse sinc interpolator as a timing error corrector. The RCF was introduced in Chapter 2, where the pulse shaped function that has a shape controlled by the roll-off ( α ) factor is given by

⎡ sin (πx T ) ⎤ ⎡ cos(απx T ) ⎤ c( x) = ⎢ ⎥⎢ 2⎥ ⎣ πx T ⎦ ⎣1 − (απx T ) ⎦

(4-16)

where x=-N_taps/ 2+1:1:N_taps/ 2 . To introduce timing shift in the signal that is being fed to the RCF matched filter, we deviate the variable x by x = x+fract_de l . So the fractional delay of the sampling clock is given by

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

13

[email protected]

(4-17)

fract_del= del/N_phas es

where N_phases is the desired number of resolution steps in fractions of a symbol, most commonly the value of N_phases is 8, or 32. The variable del is the delay using a range that is given by -(N_phases-1)/N_phases):1/N_phases: (N_phases-1)/N_phases The correction mechanism using the RCF interpolator in (4-16) is done as follows. First, we decide the fractional steps of correction that are to be performed. The interpolator coefficients are then found by evaluating (4-16) for every one of these steps, and the result is then stored in a table look up (TLU). Using the timing error signal from the Gardner detector that is quantized to the closest value in the TLU, the coefficients that correspond to correction are used as coefficients for the FIR matched filter, which is then used to filter the data. For example, assume that the Gardner timing error in Figure 4-13 estimated the error to be 0 of a symbol (no error), using a 6th order FIR RCF pulse interpolator in (4-16) with N_taps = 8 , or fract_del = 1 / 8 , and roll-off=0.35 then evaluating Equation (4-16) with del=0, Figure 4-14 shows a plot of the impulse response of the filter, which shows that the coefficients are all zero except the one at t= 0, or at the symbol rate. Figure 4-15 shows the impulse response of the RCF interpolator in Equation (4-16) with del=-1/8. Notice here that the middle coefficient has the largest value and corresponds to the value of x=-1/8 as shown in the listing of the coefficient table (listed on the figure). Figures 4-16 through Figure 4- 18 shows the coefficients of the interpolating filter as corresponding to the timing correction being –2/8, –3/8, and –4/8. Figure 4-19 shows the interpolator coefficients as a function of the timing error signal for symbols with an oversampling factor of 8. In modem usage the interpolator in Equation (4-16) is not computed on line, since that might be computationally expensive. Instead, the interpolator coefficients are evaluated and stored in memory and the correction scheme is implemented by a table look up every time the timing error is updated.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

14

[email protected]

1.2

de,l=0/8 1 X TAP -3.0000 0.0000 -2.0000 -0.0000 -1.0000 0.0000 0 1.0000 1.0000 -0.0000 2.0000 -0.0000 3.0000 0.0000 4.0000 -0.0000

0.8

0.6

0.4

0.2

0

-0.2 -3

-2

-1

0

1

2

3

4

Figure 4- 14: coefficients for delay =0/8.

1.2

del=-1/8

1

-3.1250 -0.0099 -2.1250 0.0328 -1.1250 -0.0934 -0.1250 0.9728 0.8750 0.1274 1.8750 -0.0424 2.8750 0.0139 3.8750 -0.0022

0.8

0.6

0.4

0.2

0

-0.2 -4

-3

-2

-1

0

1

2

3

4

Figure 4- 15: coefficients for delay =-1/8.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

15

[email protected]

del =-2/8 1

-3.2500 -0.0151 -2.2500 0.0531 -1.2500 -0.1499 -0.2500 0.8939 0.7500 0.2812 1.7500 -0.0889 2.7500 0.0300 3.7500 -0.0057

0.8

0.6

0.4

0.2

0

-0.2 -4

-3

-2

-1

0

1

2

3

4

Figure 4- 16: coefficients for delay =-2/8. 0.8 0.7

del =-3/8

0.6

-3.3750 -0.0160 -2.3750 0.0606 -1.3750 -0.1711 -0.3750 0.7717 0.6250 0.4498 1.6250 -0.1320 2.6250 0.0456 3.6250 -0.0099

0.5 0.4 0.3 0.2 0.1 0 -0.1 -0.2 -4

-3

-2

-1

0

1

2

3

4

Figure 4- 17: coefficients for delay =-3/8.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

16

[email protected]

del =-4/8 1

-3.5000 -0.0138 -2.5000 0.0570 -1.5000 -0.1624 -0.5000 0.6186 0.5000 0.6186 1.5000 -0.1624 2.5000 0.0570 3.5000 -0.0138

0.8

0.6

0.4

0.2

0

-0.2 -4

-3

-2

-1

0

1

2

3

4

Figure 4- 18: coefficients for delay =-4/8.

delay -1.0000 -0.8750 -0.7500 -0.6250 -0.5000 -0.3750 -0.2500 -0.1250 0 0.1250 0.2500 0.3750 0.5000 0.6250 0.7500 0.8750

C0 C1 0.0000 -0.0000 0.0139 -0.0424 0.0300 -0.0889 0.0456 -0.1320 0.0570 -0.1624 0.0606 -0.1711 0.0531 -0.1499 0.0328 -0.0934 -0.0000 0.0000 -0.0424 0.1274 -0.0889 0.2812 -0.1320 0.4498 -0.1624 0.6186 -0.1711 0.7717 -0.1499 0.8939 -0.0934 0.9728

C2 0.0000 0.1274 0.2812 0.4498 0.6186 0.7717 0.8939 0.9728 1.0000 0.9728 0.8939 0.7717 0.6186 0.4498 0.2812 0.1274

C3 C4 1.0000 0.0000 0.9728 -0.0934 0.8939 -0.1499 0.7717 -0.1711 0.6186 -0.1624 0.4498 -0.1320 0.2812 -0.0889 0.1274 -0.0424 -0.0000 -0.0000 -0.0934 0.0328 -0.1499 0.0531 -0.1711 0.0606 -0.1624 0.0570 -0.1320 0.0456 -0.0889 0.0300 -0.0424 0.0139

C5 -0.0000 0.0328 0.0531 0.0606 0.0570 0.0456 0.0300 0.0139 0.0000 -0.0099 -0.0151 -0.0160 -0.0138 -0.0099 -0.0057 -0.0022

Figure 4- 19: Tap coefficients a 6th order FIR RCF pulse interpolator using roll-off=0.35 with timing resolution of 1/8T.

Another method of symbol timing correction is obtained using the size delay line shown in Figure 4-20, which uses a single weight interpolator. Here the output is given by y ( n) = x ( n) + Bx ( n − 1) Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

(4-18) 17

[email protected]

This interpolator has a transfer function given by y ( n) 1 = x(n) 1 + Bz −1 and the filter’s group delay (correction) is given by B=

(2τ − 1) cos(2π

f fs )±

(1 − 2τ )2 cos 2 (2π 2(1 − τ )

f f s ) + 4τ (1 − τ )

(4-19)

As an example, for f f s =0.156, τ =0.6T, substituting these values in the weight of the delay line in Figure 4-20, gives the result of B=1.38.

x ( n)

y( n)

+

z −1

B Figure 4- 20: Delay line for timing error correction.

4.3 Symbol Timing Loop Filter Design

In figure 4-13, the timing error was passed through a loop filter that is similar to those derived in Chapter 3 for carrier recovery loops. However, since the symbol timing recovery is performed after precise frequency recovery, there is no need for the filter to have the integrator constant, and thus the loop can be a first order system, that is K p = 0 and so the error signal fed to the interpolator becomes,

xk +1 = xk + K p ek

(4-20)

Figure 4-21 shows a comparison between the adjustment signal out of the proportional loop filter ( x k +1 ) for a value of K p = 0.25 and K p = 0.025 . Clearly the tradeoff is speed of convergence versus variance of the final error estimates.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

18

[email protected]

1

1

0.8

0.8

0.6

0.6 Error

1.2

Error

1.2

0.4

0.4

0.2

0.2

0

0

-0.2

0

20

40

60 80 Normalized time

100

120

-0.2

140

0

20

40

60 80 Normalized time

100

120

140

Figure 4- 21: Comparison between the adjustment (Equation 4-20) signal out of the proportional loop filter ( x k +1 ) for a value of K p = 0.25 (Left) and K p = 0.025 (Right).

The loop bandwidth of the loop based on the recursive equation in (4-20) is given by

BLT =

K p Kd

2(2 − K p K d )

where K d is the slope of the error time detector (see figure 4-21) when the timing error is zero.

4.4 Symbol timing lock Indicator

The lock indicator is a useful tool for monitoring the receiver performance and also is an indicator that is used to initiate the decoder operation. One symbol-timing indicator is given by ⎡ ⎛ ⎞⎤ LDτ = Re ⎢ x 3 ⎜⎜ x ∗ 5 − x ∗ 1 ⎟⎟⎥ k+ k+ k+ ⎥ 4 ⎠⎦ ⎣⎢ 4 ⎝ 4

(4-21)

where x ∗ is the complex sample of the symbol x . This lock indicator in (4-21) requires four samples per symbols to operate. For systems with two samples per symbols, the following lock indicator can also be used,

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

19

[email protected]

⎡ ⎤ LDτ = Re ⎢ x k x k∗ − x ∗ 1 x 1 ⎥ k− k− 2 2⎦ ⎣

(4-22)

Figure 4-22 shows the lock indicator in (4-22) and the Gardner timing error detector (414) is applied to the loop shown in Figure 4-13. Notice that the lock detector is a maximum value when the timing error detector is zero. Gardner timing error detector

Averaged detector output

Lock indicator

-T/2

+T/2 Normalized Timing error (del/T)

Figure 4- 22: Lock indicator and Gardner timing error detector.

4.5 Preamble-Aided Symbol Timing Synchronization

Figure 4-23 shows an example of a digital receiver that corrects timing based on a timing error detector based on the correlation between a local replica of a preamble and the same preamble that is transmitted through the channel. The receiver captures a set of samples that contains a transmitted preamble (CW tone) that is also alternating sequence of +11+1-1… as shown in Figure 4-24. The maximum correlation value directly indicates the proper sample shift in order to line up the received preamble with the local preamble that is then used to control the sample index, so that the receiver symbols sampler strobe either advances or retards by an amount that is equal to the error. The error signal is usually filtered using a low pass filter to yield a proper signal that can be compared with a threshold detector to indicate valid alignment as shown in the Figure 4-24 (bottom). This technique requires that the received signal is oversampled by a factor larger than 8 (usually), and does not involve any feedback.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

20

[email protected]

Interpolator Matched Filter

CNCO Phase Phase rotation Correction

Down Sampling

Carrier Phase Phase Estimation Estimation

Symbol

Extract Training

Decoder

Synchronization Offset

estimation

Figure 4- 23:carrier and symbol timing Synchronization scheme using training sequence.

2 0 -2

Received preamble 0

1000

2000

3000

4000

5000

6000

7000

2 0

Preamble reference -2 2

0

1000

2000

3000

4000

2

0

1000

2000

3000

4000

7000

5000

6000

7000

Low pass Cross correlation result

1 0

6000

Cross correlation result

1 0

5000

0

1000

2000

3000

4000 Sample #

5000

6000

7000

Figure 4- 24: Preamble based start of a symbol estimation using 32 samples/symbol.

4.6 Symbol Timing Synchronization using Unique Word

Another method of extracting symbol-timing alignment at the receiver is based on the characteristics of a unique word (UW) sent to mark the beginning of a symbol. Figure 425 shows the autocorrelation characteristics of a UW sequence given by UW= [1

1 -1 -1

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

1

1

1

1

1

1 -1

1 -1 1 -1

1]

21

[email protected]

UW= [1

1 -1

-1

1

1

1

1

1

1

-1

1

-1 1

-1

1];

1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0

0

200

400

600

800

1000

1200

Sample #

Figure 4- 25: Autocorrelation of the unique word used to mark symbol start.

Although this unique word here is chosen at random, there are some sequences that have better autocorrelation shape, such as the Barker code or Gold codes. However for our demonstration here, it is sufficient to assume that the autocorrelation in Figure 4-25 is good enough for illustrative purposes. Figure 4-26 shows symbol timing error using a signal containing the alternating sequence Symbol timing error using the transmitted UW as illustrated in Figure 4-25. (??) The upper graph shows the received signal containing the preamble at the beginning, then an alternating sequence that can be used for carrier recovery of automatic gain control, and then the UW that is shown by the arrow indicator. The received signal is cross correlated with a local replica of the UW, and the output is checked against a correlation score (threshold), as indicated in Figure 4-26. The peak corresponds to the sample index used as an error signal to feed to the receiver sampler.

The cross-correlation of any two sequences

xn

(received preamble) and

y n (local UW) is given by

(

)

(

)

(4-23)

y n∗ , m ≥ 0

(4-24)

E xn yn∗−m = Rxy (m) = E xn+m yn∗ where

Rxy (m) =

N − m −1

∑x n =0

n+m

The relation in Figure 4-24 points out that by trying different time-shifts in steps of 1 sample at a time while observing the cross-correlation magnitude, the symbol timing can L

be found. Given that the unique word training sequence (UW) is c( k ) k =1 , and that the search window is bounded by t ∈ [t start − tend ] , The symbol timing is then found by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

22

[email protected]

searching for the time instance that maximizes the cross-correlation between a UW sequence c (k ) and the received set of samples r (k ) . That is L ⎫ ⎧ t sampling = arg ⎨ max r ( k − kN + t sampling ) * c (k ) ⎬ ∑ ⎭ ⎩t sampling ∈[t start −tend ] k =1

(4-25)

where the sampling time is given by t s = NT . 1

0

-1

0

1000

2000

3000

4000

5000

6000

0

1000

2000

3000

4000

5000

6000

0

1000

2000

4000

5000

6000

1

0

-1 1

0.5

0

3000 Sample #

Figure 4- 26: The waveform illustrating the unique word detection using a crosscorrelation method.

4.7 Automatic Gain Control (AGC) Loops

Figure 3-2 illustrated the necessity of using AGC to obtain a proper signal for synchronization. The AGC operates by sensing the matched filter output in conjunction with a user specified signal level at the desired setting (reference) , from which it derives the AGC error signal. The error signal is then filtered in a loop filter producing an AGC correction update that is fed to a multiplier that scales the samples out of the tracking bufferjust before it enters the decoder. There are two types of AGC loops One is based on a linear relation between the received signal and a desired reference and the other is based on the logarithmic of the received signal against a reference. The next sections point out the differences and illustrate both loops using computer simulations. 4.7.1

Linear Signal Magnitude Based AGC Algorithm

The linear magnitude based AGC is shown in Figure 4-27. Here the loop computes the signal level at the output of the AGC, next it compares it to a fixed reference, then the Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

23

[email protected]

error signal is scaled by the factor α, which is less than 1 and controls the steady state response.

y (n) = A(n) x(n)

x(n)

X level Estimate

A(n + 1)

A(n) z

−1

+

X

α

+

-

R

Figure 4- 27: Linear implementation of AGC loop filter.

One of the fundamental problems with this classical algorithm is that the steady state response (settling time) of the AGC loop when responding to a step in the input signal which is controlled not only by α, but also by the input signal level change, which gives inconsistent attack and release times, and results in deterioration of the receiver performance.(??) The impact t of the input signal level on the AGC control signal can be illustrated mathematically as follow. The output signal of the AGC loop shown above in Figure 4-27 is given by y ( n) = A( n) x (n)

(4-26)

where A(n) : the AGC control signal.

A(n + 1) = A(n ) + α [R − A( n ) x( n ) ]

(4-27)

Which is then expanded to,

A(n + 1) = A(n )[1 − α x(n ) ] + α R

(4-28)

To illustrate the sudden jump in received signal level, assume that the input signal x(n) is a unit step with an amplitude of c , or x (n) = cu ( n) . The control signal (gain value) is then given by,

A(n + 1) = A(n )[1 − α c] + α R

(4-29)

Using standard difference equation, the steady state response of the loop can be shown as

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

24

[email protected]

[

]

R n 1 − (1 − α c ) u ( n ) c which has a steady state time response of A( n ) =

(4-30)

R

− t R A(t ) ∝ (1 − e αc ) c

(4-31)

R , which is a desirable result. But c 1 unfortunately, the loop time constant is proportional to , which is a function of the αc step in the signal magnitude. This shows that if the signal jumps by a small magnitudethe AGC loop will have a large time constant, which will take a long time to steady. Likewise, if the signal jumps by a large magnitude, the time constant will be small, resulting in overshoot and the possibility of oscillation. This phenomenon is shown in Figure 4-28. For the small signal jump during sample numbers of 300 to 500, it can be shown clearly how slow the system responds. In contrast, for large signal jumps, such as that taking place during samples 1100 to 1300and 700 to 900, we see that the loop has a very small time constant. A violent overshoot results. Figure 4-29 shows the same algorithm applied to a baseband signal of 10 kbps of BPSK waveform. .

This response has a steady state value of

AGC input

2

0

-2

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800 1000 1200 sample number

1400

1600

1800

2000

AGC output

2

0

AGC control voltage

-2 20

10

0

Figure 4- 28: Simulations of the linear AGC loop with low IF and α=0.2.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

25

[email protected]

AGC input

2

0

-2

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800 1000 1200 sample number

1400

1600

1800

2000

AGC output

2

0

AGC control voltage

-2 15 10 5 0

Figure 4- 29: Simulations of the linear AGC loop with Binary signal and α=0.2.

4.7.2

Log Signal Magnitude Based AGC Algorithm

The inherent problems shown in Figure 4-29 are avoided by using an alternative approach that weights the correction signal term by the logarithmic ratio of the input to the reference as oppose to the difference between them. Figure 4-30 shows the AGC loop of figure 4-27 being modified to use the log function of the output level.

x(n)

y (n) = A( n) x( n) X

log{A( n)}

level Estimate

log{A(n + 1)}

exp(.) z

−1

+

+

X

-

Log e (.)

Loge (R )

α

Figure 4- 30: The Logarithmic magnitude based AGC loop. Here the gain output signal is given by

log{A(n + 1)} = log{A(n)} + α [log{R} − log{ A(n) x(n) }]

(4-32)

which is then expanded to, Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

26

[email protected]

log{A(n + 1)} = log{A(n)}[1 − α ] − α [log{ x(n) } − log{R}] and thus,

log{A(n + 1)} = log{A(n)}[1 − α ] − α log{ x(n) / R}

Again, with x(n) as a unit step with amplitude of c , or x (n) = cu ( n) , the gain signal is then given by,

log{A(n + 1)} = log{A(n)}[1 − α ] − α log{c / R}

(4-33)

which is further simplified to,

[

]

log{A( n)} = − log{c / R}1 − (1 − α ) u ( n) n

(4-34)

R , which is again a desirable value. However, c unlike the system shown in Figure 4-27, the final value, or steady state of the loop shown 1 and hence is independent of the input signal level. in Figure 4-30, is proportional to

This shows that the steady state value is

α This results in a consistent loop time constant. Figure 4-31 shows the simulation of the loop in Figure 4-29. Notice that the AGC control voltage (bottom plot), unlike that in Figure 4-28, has a consistent rise and fall times, which are not a function of the input magnitude jump. . AGC input

2

0

-2

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800 1000 1200 sample number

1400

1600

1800

2000

AGC output

2

0

AGC control voltage

-2 4 2 0 -2

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

27

[email protected]

Figure 4- 31: Simulation of the Log based AGC loop with 10 kbps BPSK and α=0.2.

4.7.3

Exponential Based AGC Algorithm

Another loop that also presents a similar response to that shown in Figure 4-31 is one where the correction signal is passed through the exponential function. This algorithm does not utilize the Log(x) function and only attenuates the control voltage using a nonlinear function that de-emphasizes small signal jump impact on the loop dynamics. The algorithm is applied as follow: (4-35)

y(n+1) = x(n)*exp(a(n));

where y(n) is the AGC output, x(n) is the AGC input, and a(n) is the AGC control voltage. The error signal is then formed by, (4-36)

e(n) = r-abs(y(n))

where r is the reference level. Using both (4-35) and (4-36) the gain control is given by, a(n+1) = a(n) + α*e(n);

(4-37)

where α is the convergence factor that is less than one. The nonlinearity used here is the exp(a(n)) function. Figure 4-32 and Figure 4-33 shows the algorithm (4-37) performance with α=0.2 for a 60kHz CW and BPSK signals respectively.

AGC input

2

0

-2

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800 1000 1200 sample number

1400

1600

1800

2000

AGC output

2

0

AGC filter output

-2 10

5

0

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

28

[email protected]

Figure 4- 32: AGC performance of the algorithm discussed in with low IF of 10 kHz [1]. AGC input

2

0

-2

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800

1000

1200

1400

1600

1800

2000

0

200

400

600

800 1000 1200 sample number

1400

1600

1800

2000

AGC output

2

0

AGC filter output

-2 6 4 2 0

Figure 4- 33: AGC performance of the algorithm discussed in with 10kbps BPSK waveform.

4.8 REFERENCES

1. S. Haykin, Communication Systems, Wiley, NY, 1994. 2. Gardner, F. Phaselock Techniques. New York, NY: John Wiley, 1966. 3. F. M. Gardner, “A BPSK/QPSK Timing Error Detector for Sampled Receivers,” IEEE Transactions on Communications, vol. COM-34, pp. 423-429, May 1986. 4. D. N. Godard, “Passband Timing Recovery in an All-digital Modem Receiver,” IEEE Transactions on Communications, vol. COM-26, pp. 517-523, May 1978. 5. K. H. Mueller and M. S. Muller, “Timing Recovery in Digital Synchronous Data Receivers,” IEEE Transactions on Communications, vol. COM-24, pp. 516-531, May 1976. 6. Jean Armstrong, and David Strickland, “Symbol timing using samples and interpolation”, IEEE Trans. Commun., vol. 41, No. 2, pp. 318-321, Feb 1993. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

29

[email protected]

7. Jussi Vesma, Markku Renfors, Jukka Rinne, “Comparison of efficient interpolation techniques for symbol timing recovery”, Proceedings of GLOBECOM, 1996.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

30

[email protected]

Chapter 5 Introduction to Feedorward Synchronization In this chapter we introduce several feedforward synchronization algorithm. Figure 5-1 presents the schematic of a typical wireless digital radio receiver with the relevant blocks for feedforward synchronization processing. The order of synchronization can be as that illustrated in the figure where symbol timing is obtained first, then -since carrier frequency offset and carrier phase offset operate on a single sample per symbol - they are performed after. Frequency offsets is estimated and corrected first since phase estimations require no frequency offset present. The Figure also indicates the frame estimator, which is usually based on use of unique words or other markers within the transmitted data. Gain estimation is estimated at the last stage to normalize the symbol samples for the decoder.

RF IN

Timing estimation and correction

I (k ) RF section

Frequency estimation and correction Interpolator/ Decimator

Matched filter

Phase estimation and correction x

x

Q (k )

Channel gain estimation

τˆ Timing estimator

Timing Post Processing

Frequency estimator

∆fˆ Phase estimator

Phase post processing

δˆ

θˆ

Frame estimation

Figure 5- 1: Digital wireless radio schematic emphasizing synchronization blocks.

5.1 Feedforward Symbol Timing Recovery The asynchronously oversampled baseband signal is stored on a burst-by-burst basis; a block of samples extending over a finite duration (a fraction of burst duration) is then buffered for processing by the symbol timing algorithm. The algorithm estimates the Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 1

[email protected]

Decoder/ Receiver Display

symbol timing error offset ( τˆ ), which is used to correct the sampling instances. The correction process can be performed either by correcting the A/D variable sample clock using a feedback scheme, or by the open-loop digital resampling method shown in Figure 5-1. In the digital resampling method, the synchronous values are estimated by interpolating the non-synchronized samples using an interpolator filter. A decimating filter is then used to lower the sample rate.

5.2 Feedforward Carrier Frequency Offset Recovery During the second step, a block of the decimated complex samples from the symbol timing correction interpolator/decimator network is buffered and presented to a frequency estimation algorithm, which in turn estimates an initial offset frequency ( ∆fˆ ) used by a frequency compensation network. The frequency correction can be implemented either by offsetting the variable complex local oscillator by an offset of (- ∆fˆ ), or by using an open loop method that numerically rotates the samples using a CNCO and a complex multiplier as shown in Figure 5-1. As the frequency estimator of Figure 5-1 can only cope with a narrow range of frequency offsets, an automatic frequency control (AFC) circuit is needed for large frequency offsets to perform the initial carrier acquisition [88]. 5.3 Feedforward Carrier Phase Recovery The processed samples with minimal symbol error, and frequency offsets, are then subjected to carrier phase error estimation and correction. The phase estimation process in Figure 5-1 is similar to the frequency offset recovery; the phase estimates ( θˆ ) are used by a CNCO in conjunction with a complex multiplier to undo the undesired phase rotation associated with the received baseband samples. Figure 5-1 also depicts other signal processing blocks associated with synchronization, such as the channel gain ( δˆ ) estimator, the AFC circuit, the frame synchronizer and the receiver decoder. The channel gain estimator estimates and equalizes signal variation of the received signal due to fast fading. The automatic frequency control (AFC) circuit acquires the signal [88] when the frequency offsets are larger than the capture range of the baseband digital carrier offset estimator, especially during the initial receiver powerup stages. Frame synchronizer is used to estimate the beginning and end of the TDMA burst. The receiver decoder is the block where Viterbi decoding and other decoding or data formatting routines are implemented. 5.4 Maximum Likelihood Principle The feedforward digital synchronization parameters (ε , ∆f , θ ) in Figure 5-1 are estimated using the principle of maximum likelihood (ML). This yields an estimate of the single desired synchronization parameter, which could be symbol timing, phase offset, or Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 2

[email protected]

frequency offset. To illustrate the use of the maximum likelihood estimation method, we use the following example. Given N observations of the parameter θ in a received (observed) signal r corrupted by additive white Gaussian noise (AWGN) n , one has ri = θ + ni

(5-1)

where i = 1,2,3,..., N with ni representing independent, zero-mean Gaussian variables with identical variance σ n2 . The conditional probability of the received signal r given the parameter θ , is given by N

p (r / θ ) = ∏ i =1

⎛ (ri − θ )2 ⎞ ⎟ exp⎜⎜ − 2 ⎟ 2 σ 2π σ n n ⎝ ⎠ 1

(5-2)

The ML estimate of θ is obtained by the maximizing p(r / θ ) with respect to θ . Thus

⎤ d ln( p(r / θ )) N ⎡⎛ 1 N ⎞ = 2 ⎢⎜ ∑ ri ⎟ − θ ⎥ = 0 dθ σ n ⎣⎝ N i =1 ⎠ ⎦

(5-3)

which yields the following equality, ⎛1 ⎜ ⎝N



N

∑ r − θ ⎟⎠ = 0

(5-4)

i

i =1

which gives the estimate

θˆ(r ) =

1 N ∑ ri N i =1

(5-5)

This serves as the basis for recovering synchronization parameters using the ML principle. The variance of the estimates is bounded by lower theoretical bounds such as Cramer-Rao bound (CRB) [5-12]. CRB serves as a benchmark to check the accuracy of estimation and will be used throughout the book. To illustrate that the ML can also be used with feedback systems, let us present the derivation of symbol timing estimation and correction using feedback [1,2,3] shown in Figure 5-3. Given the ML function of the MF output λ (θ ,τ ) as a function of phase and symbol timing error by

λ (θ ,τ ) =

+∞

∫ [y(t − εT )e



]

2

− p(t ) dt

(5-6)

−∞

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 3

[email protected]

Where y(t) is the received signal and the functions p(t) is a set representing the trial over the possible transmitted symbols. This can also be considered a training sequence. 2 Expanding the inner term of the integral, [ y (t ) − p (t )] y 2 (t ) − 2 y (t ) p (t ) − p 2 (t ) , and removing all correlated parts yields the MLE equation that only contains the y (t ) p (t ) terms [2,3].

λ (θ ,τ ) =

+∞

∫ [y (t − τ )e



]

2

p(t ) dt

(5-7)

−∞

The ML function that is dependent on symbol timing and carrier phase (5-7) now is a correlation between the basis ML set p(t) and the received signal y(t) that has synchronization offsets. Now to perform ML, we need to maximize this ML function (57), which means we correlate the received signal with the whole set of ML entries, then use the one entry among the set that resulted in maximum correlation value, which is a measure of which waveform was sent and then what value of symbol timing and phase offset, since maximum correlation means the maximum magnitude caused by the use of variable that caused result in the most probable match between y(t) and a locally generated basis function p(t, ⎛ ⎜ ⎝

⎞ ⎟ ⎠

λ (θ , εT ) = max⎜ ∫ [y (t − εT )e jθ p(t )] dt ⎟ εT ,θ

2

(5-8)

It turned out that for AWGN channels in which y(t) is only corrupted by AWGN, this can be simplified to ⎛ ⎜ ⎝

⎞ ⎟ ⎠

λ (θ , εT ) = max⎜ Re ∫ [y (t − εT )e jθ p(t )] dt ⎟ ε ,θ

2

(5-9)

To estimate (θ , εT ) we use the principle of decision aided ML synchronization loop [1]. The phase estimates are given by ⎛ ⎞ 2 d ⎜ Re ∫ y (t − εT )e jθ p(t ) dt ⎟ ⎜ ⎟ dλ (θ , εT ) ⎝ ⎠ =0 = dθ dθ

[

]

and the timing symbol errors will be given by ⎛ ⎞ 2 d ⎜ Re ∫ y (t − εT )e jθ p(t ) dt ⎟ ⎜ ⎟ dλ (θ , εT ) ⎝ ⎠ =0 = dεT dθ

[

]

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 4

[email protected]

One way to obtain a timing error (εT ) is by using the early-late Gate correlator, which approximates the derivative above as dy y E − y L ≈ dτ τ E − τ L

(5-9)

where y L and y L are the early and late samples as shown in Figure 5-2. Clearly the differentiation of the ML function with respect to the timing error (εT ) had produced the earlier introduced early-late time detector that was used in Chapter 4.

dy y E − y L ≈ dτ τ E − τ L

yk

yE

yL

k

TE

TL

Figure 5- 2: Early-Late-Gate timing error detector derived from the ML principle

The Early-Late ML-based timing technique involves delaying the ML input signal in a buffer, then performing correlation of the current tentative decision symbol of pˆ (t ) with each one of the early and late samples of the MLE inputs as shown in Figure 5-3. This can be mathematically represented by ⎡ T + 12 T ⎤ T − 12 T 2 2 ⎢ ⎥ jθ jθ ˆ ˆ − Re y ( t T ) e p ( t ) dt y ( t T ) e p ( t ) dt ε − − ε ∫ ∫ ⎢ ⎥ 1 1 ⎥⎦ 0− 2 T dλ (θ , εT ) ⎢⎣ 0+ 2 T = dτ 2T

[

]

[

]

(5-10)

where T is the symbol timing period. The estimation procedure in (5-10) is illustrated in Figure 5-3. Here the ML principle of estimating the transmitted symbol issued first, then two consecutive samples of the input samples to the ML estimator are used to implement Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 5

[email protected]

the symbol timing error detector in (5-9). This error signal is then filtered using a loop filter similar to those detailed in Chapters 3 and 4. The filtered error signal is then passed to the analog to digital converter to correct its sampling instance.

Loop Filter

Z-1 Timing Generator







+

Σ

pˆ (t )

y(t)

Maxim um Likelihood Estimator

A/D

Data Decision

NCO

Figure 5- 3: MLE symbol timing Tracking implementation

5.5 Maximum Likelihood Estimation Lower Bounds Assuming that θˆ is the unbiased estimate in (5-1), a lower bound to the variance of the error in estimation θˆ(r ) − θ was derived by Cramer and Rao [11] to be CRB (θ ) =

1

(5-11)

⎧⎪ ⎡ d ln[ p (r / θ )]⎤ 2 ⎫⎪ E r ⎨⎢ ⎥⎦ ⎬⎪ dθ ⎪⎩ ⎣ ⎭

and equivalently represented as

CRB(θ ) =

1

⎧ d ln[ p (r / θ )]⎫ Er ⎨ ⎬ dθ 2 ⎩ ⎭ 2

[

≤ var θˆ(r ) − θ

]

(5-12)

. is the expectation of the enclosed argument with respect to the subscript where Er {} variable and p(r / θ ) is the conditional probability density function on r for a given value θ . The performance of different practical estimators is evaluated by comparing the estimated variance against this theoretical CRB. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 6

[email protected]

In the case of multiple parameter estimation, the parameter set may be expanded into desired parameter θ and the undesired parameter u, then p (r / θ ) can be written as +∞

p(r / θ ) = ∫ p(r / u,θ )p(u )du

(5-13)

−∞

where p(r / u,θ ) is the conditional probability density function of r given u and θ . The evaluation of CRB using equation 5-11 and 5-13 is difficult, as the integral in equation 513 cannot be carried out analytically in most cases. To circumvent this problem, a modified version of CRB, viz., the Modified Cramer-Rao bound (MCRB) is given by [10]. MCRB(θ ) =

1

(5-14)

⎧⎪⎛ d ln[ p(r / u,θ )] ⎞ 2 ⎫⎪ E r ,u ⎨⎜ ⎟ ⎬ dθ ⎪⎩⎝ ⎠ ⎪⎭

where E r ,u { } is the expectation of the enclosed quantity with respect to the vector u containing the undesired variables. Although MCRB in (5-14) has the same structure as the CRB given in equation 5-11, it is easier to evaluate. As an example, the evaluation of the MCRB of the synchronization parameters associated with a phase-modulated signals in AWGN channel is demonstrated. Let the signal be given by

s(t ) = e j (2π∆f (t −t0 )+θ ) ∑ c k g (t − kT − εT )

(5-15)

k

where ∆f is an associated carrier frequency offset error, θ is the carrier phase offset, T is the symbol clock, {c k } are the complex data symbols, g (t ) is the pulse shape, t0 is an initial timing shift, and εT is the fractional symbol timing error. To compute MCRB for separate estimation of the synchronization parameters {∆f ,θ , εT } of the received signal s (t ) given in equation 5-19, first the vector containing the unwanted parameters is designated. It is assumed that {∆f ,θ , εT } are constant within the observation period, εT is uniformly distributed between {0 ≤ εT ≤ T }, and {ck } are zero-mean independent random variables. For estimating the lower bound of the carrier frequency offset, say MCRB(∆f ) , the unwanted parameters u ∆f are chosen as {θ , εT , c k }. Similarly, for phase

rotation lower bound MCRB(θ ) , the unwanted parameters uθ are {∆f , εT , c k }, and for symbol timing MCRB(εT ) , the unwanted parameters uεT are {∆f , εθ , c k } . The following example illustrates deriving the MCRB for carrier phase variance.

Assuming that the received (observed) signal in AWGN (5-15) is given by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 7

[email protected]

r (t ) = s(t ) + n(t )

(5-16)

where n(t ) is a complex-valued AWGN with a two-sided power spectral density 2N 0 .

Using (1-16), the conditional probability p(r / u,θ ) in equation 5-13 is replaced by the ML function [10] given by ⎧⎪ 1 l(θ , u ) = exp ⎨− ⎪⎩ 2 N 0

∫ L

⎫⎪ 2 r (t ) − s (t ) dt ⎬ ⎪⎭

(5-17)

where L is the observation interval. Equation 1-14 becomes MCRB(θ ) =

1

(5-18)

⎧⎪⎛ d ln[l(u,θ )] ⎞ 2 ⎫⎪ E n ,u ⎨⎜ ⎟ ⎬ dθ ⎪⎩⎝ ⎠ ⎪⎭

Here E n ,u {} . is the expectation over Gaussian noise and unwanted parameters. Substitution of equation (5-17) in equation (5-18) yields the phase estimate Cramer-Rao bound for the signal in (5-16) MCRB(θ ) =

N0

(5-19)

⎧⎪ ds (t ) 2 ⎫⎪ Euθ ⎨∫ dt ⎬ ⎪⎩ L dθ ⎪⎭

where the unwanted parameters vector is uθ = {∆f , εT , c k } . The integrand in equation (519) for the phase modulated signal (5-15) is given by

∫ L

ds(t ) 2 dt = ∫ m(t ) dt dθ L 2

(5-20)

where m(t ) = ∑ c k g (t − kT − εT )

(5-21)

k

The integration of the message m(t) in the integral of 5-20, is simplified to,

∫ m(t )

2

dt = 2 Es L

(5-22)

L

where E s is the energy per symbol. Finally, using equation 5-19, the MCRB of the phase estimate is obtained as Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 8

[email protected]

MCRB (θ ) =

1 2 L( E s N 0 )

(5-23)

Clearly, lowering the MCRB(θ ) in 5-23 results in better carrier phase estimates (i.e., lower variance), which can be obtained either by increasing the operating symbol energy to noise ratio or by using longer observation intervals (i.e., by averaging over more symbols in equation 5-19). This lower bound can be used as a benchmark reference for practical implementation of synchronization algorithms. It can also be used to predict the synchronization limited performance range, based on the specifications of an overall link budget for the receiver. For instance, the minimum phase variance that can be achieved with an observation interval of 100 symbols and E s N 0 of 10 dB is 1 MCRB = = 5 x10 − 4 rad 2 . This value can be used to specify the smallest bit 2(100)(10) error rate degradation (also known as implementation loss) allowed due to the insertion of a non-ideal phase synchronizer into the digital receiver. The lower bound for joint estimation (i.e., phase, frequency, and symbol timing) is derived in [12,13,14,15] using the Fisher information matrix. If the estimated parameters are independent (i.e., each synchronization parameter can be estimated independent of the other synchronization parameters), the Fisher matrix will contain only diagonal elements, which then reduce to the values of CRB for each individual synchronization parameter. The CRB derived in equation 5-23 is only applicable for AWGN channels When considering other channel impairments such as fading and shadowing, the lower bound will rise [7].

5.6 Synchronization Error Impact on Receiver BER Performance

For high-speed multi-level modulation receivers, symbol rate clock is held at a very tight tolerance in terms of drift and variation. Typically the receiver clock is specified with a tolerance less than 0.1 to 0.2 parts per million (PPM) over a wide operating temperature range and years of service. Such tight tolerance makes clock tracking and correction a necessity. For instance, a practical QPSK receiver with 35% access bandwidth using a 15% symbol timing clock error, (typical frequency uncertainty of off-the-shelf crystal oscillator), will cause 1.5 dB of link deterioration for symbol-error-rate (SER) of 10-6; a 10% symbol timing error for 16-QAM signals will cause more than 1.7 dB of deterioration for the same SER. The presence of carrier frequency offsets of ∆f results in a drop of matched filter magnitude according to sinc2( 2π∆fT ), where T is the symbol rate. Such loss translates directly into BER deterioration [12]. For instance, with 10 kbps transmission, a 1 kHz carrier offset will cause 0.6 dB loss in Eb/No link budget. Frequency offsets are caused by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 9

[email protected]

oscillator drifts in the transmitter and receiver local oscillators, as well as by the Doppler shift due to the relative movement of the mobile receiver with respect to the transmitter. Table 5-1 lists typical specified maximum frequency offsets for common wireless systems. For typical satellite and PCS voice transmission rates of 2400 bps or 9600 bps, the receiver may experience frequency offsets as large as the data rate being received. This is considered to be one of the critical issues in the design of TDMA receivers and is addressed in Chapter 8 and 9. Carrier phase rotations are caused by channel phase distortion or by the associated transmitter/receiver circuit component, such as phase noise in the local oscillators, phase distortion in the IF high Roll-off filters, and I/Q imbalances. The rotation causes the data symbols to rotate and move toward neighboring symbols, resulting in detection errors. For QPSK and 16-QAM signals, a 10o rotation of the carrier phase respectively causes a 1.7 dB and 2.0 dB reduction in receiver Es/No link budget. To incorporate the effect of synchronization errors into the overall transmission SNR link budget, and hence into the receiver design specifications, the variance of the synchronizer output estimates need to be related to the bit-error-rate (BER). BER degradation due to synchronization errors is defined as the increase in Eb/No (in dB) that one needs to obtain the same system BER performance when perfect synchronization occurs. This loss is also known as synchronizer implementation loss.

Transmission Type

Maximum Offset

Frequency Carrier Frequency

WIRELESS LAN

200 kHz

5000 MHz

MILSAT-UHF

2.4 kHz

200-400 MHz

PCS/CELL

200 Hz

800 MHz

PCS/CELL

300 kHz

1800-1900 MHz

LEO-SAT

62 kHz

2400 MHz

Table 5- 1: Frequency offsets experienced in various transmission systems. For carrier phase errors that are relatively small enough for their distribution to be Gaussian (as happens in a well-designed system), the BER degradation due to carrier phase error (in dB) [13] is given by

()

⎞ ⎛E Dθ = 4.3⎜⎜ s + 1⎟⎟ var θˆ ⎠ ⎝ N0

(5-24)

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 10

[email protected]

and the BER degradation due to timing errors is given by

⎛ 2E s DT = 4.3⎜⎜ g ' ' (0)T 2 + N0 ⎝

∑ (g ' (kT )T ) k

2

⎞ ⎟⎟ var(εˆ ) ⎠

(5-25)

where εˆ is the estimated symbol timing, the term var(εˆ ) is the variance of the symbol timing error that is normalized by the symbol rate T, θˆ is the estimated carrier phase, and

g' (kT ) and g ' ' (kT ) are the first and second time derivatives of the transmitted pulse shape of the combined matched and bandwidth shaping filters. Moeneclaey in [13] computed the terms g' (kT ) and g ' ' (kT ) for a system using square-root cosine pulse with 1+α a bandwidth equal to Hz, where T is the symbol rate and α is the Roll-off value 2T used. Using the parameters in equation (5-25) (?) can be simplified to ⎛ E ⎞ DT = 4.3⎜⎜ A + s B ⎟⎟ var(εˆ ) N0 ⎠ ⎝

(5-26)

where, A and B are a functions of the Roll-off factor used. Table 5-2 lists A and B for commonly used Roll-off values.

Roll-off ( α ) 1.00 0.80 0.50 0.35

A 5.2 4.2 3.8 3.5

B 0.2 0.4 0.5 0.8

Table 5- 2: Values for A and B of (5-26) as a function of Roll-off factor used.

Using (5-26), the modified symbol error rate (SER) that includes the additional amount E of s to make up for synchronization errors for M-ary signals is given by N0 1 ⎞ ⎛⎜ 3 log 2 M ⎛ Pe = 4⎜1 − ⎟Q M ⎠ ⎜ ( M − 1) ⎝ ⎝

D ⎛ Eb ⎞⎞ 10 ⎟ ⎟ ⎜ − 10 ⎜N ⎟⎟ ⎝ o ⎠⎠

(5-27)

Using equations 5-24 through 5-27 the impact of symbol and carrier phase variances on the overall SER is depicted in Figures 5-4 to 5-8 for QAM and QPSK signals. It can be seen that with the QPSK receiver that has a carrier synchronizer with a error deviation of Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 11

[email protected]

Es of 5 dB to retain the same SER performance as Pe = 10 −6 N0 E (Figure 5-4); for 16 QAM, a phase error of 5o requires an increase in s of more than N0 1.7 dB to retain the same SER (Figure 5-5).

15o requires an increase in

Symbol timing error impact on SER in equation (5-26) depends on the Roll-off factor of E the channel spectrum shaping filter and the operating s . A QPSK system using 35% of N0 access bandwidth and a symbol error of 10% of the symbol rate requires an increase in Es of 1.2 dB to retain the same SER performance (Figure 5-6). The impact is less for N0 larger access bandwidth, for instance using 80% access bandwidth, the loss is reduced to less than 1.0 dB (Figure 5-7). For QAM signals, the deterioration due to symbol timing error is even greater. For symbol timing errors of 15% of the symbol rate, SER is Es increases (Figure 5-8). The bounded by irreducible SER floor of 1.5x10-4 as N0 explanation is that the timing errors generate inter-symbol-interference (ISI), which, in turn, produces decision errors even in the absence of noise. Synchronization implementation losses can be expressed in terms of signal-to-noise ratio (SNR) and carrier-to-noise ratio (CNR) by using the following relations

SNR =

Eb log 2 M N0

(5-28)

CNR =

Eb + 10 log10 Rb N0

(5-29)

and

where Rb is the bit rate. By closely examining Figures 5-4 through 5-8, the following observations can be made: •

Es and variance of a synchronization parameter, larger constellation N0 E modulations (which need higher s to achieve a given SER) give rise to larger SER N0 degradation (i.e., QAM is more vulnerable than QPSK).

For given

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 12

[email protected]



SER degradation as a result of symbol timing error depends on the access channel bandwidth used (i.e., Roll-off factor and SNR).



SER performance as a function of symbol timing varianc, is bounded by an irreducible floor even in the absence of noise.

The observations underline the severe adverse impact of synchronization errors on the receiver performance. Care must therefore be taken in designing and specifying synchronization parameters for such receivers. 10

0

QPSK

10

Pe

10

10

10

10

10

10

-1

-2

-3

-4

-5

No carrier errors

δ θ = 5o δ θ = 10o δ θ = 15o

-6

-7

2

4

8

6

10

12

14

Es/No

Figure 5- 4: Impact of carrier phase error on QPSK SER, δ θ = [0o,5o,8o,10o, 15o].

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 13

[email protected]

10

10

16QAM

-1

-2

Pe

10

0

10

10

δ θ = 10 o

-3

δ θ = 5o

-4

No carrier errors

10

10

-5

-6

2

4

6

8

12

10

14

16

E s/N o

Figure 5- 5: Impact of carrier phase error on 16QAM SER, δ θ = [0o, 5o, 10o]. 0

10

QPSK 35% access Bandwidth

-1

10

-2

10

Pe

-3

10

-4

10

δ T = 0.2T

-5

10

δ T = 0.15T

-6

10

δ T = 0.10T

-7

10

2

4

6

8

10

12

14

Es/No

Figure 5- 6: Impact of symbol error on QPSK, δ T =[0T .1T .15T .20T], Roll-off=0. 35. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 14

[email protected]

0

10

QPSK 80% access Bandwidth

-1

10

-2

10

Pe

-3

10

-4

10

δ T = 0.2T

-5

10

-6

10

δ T = 0.10T

δ T = 0.15T

-7

10

10

8

6

4

2

Figure 1-5: QPSK SER with Symol Error (0

Es/No

.1

12

14

.15 .20 )T

Figure 5- 7: Impact of symbol error on QPSK systems, δ T =[0T .1T .15T .20T], Roll-off=0.80. 0

10

16QAM 35% access Bandwidth

-1

10

-2

10

Pe

-3

10

δ T = 0.15T

-4

10

δ T = 0.10T

-5

10

-6

10

-7

10

4

6

8

12

10

14

16

18

Figure 1-6: 16QAM SER with Symol Error (0.1 0.15)T Es/No

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 15

[email protected]

Figure 5- 8: Impact of symbol error on 16QAM, δ T =[0T .1T .15T], Roll-off=0.35.

5.7 Equivalence Nature Between FF and FB Synchronization Systems

There is an equivalent relationship between FF and FB synchronization systems [1], which helps in utilizing some of the already available analytical methods of FB in analyzing FF loops. For example, the observation interval (L) in FF synchronizers is related to the loop bandwidth of the PLL synchronizer as 1 = 2 B LT L

(5-30)

where T is the symbol rate. For example, a PLL synchronizer with loop bandwidth of BL = 50 Hz used for carrier recovery in a receiver and operating with a data rate of 10 ksps ( T = 10 −4 ), has the same performance as a FF synchronizer using an observation interval of L = 100 symbols. Thus the design parameters of FF synchronizers can be chosen based on specifications that are derived from a feedback synchronizer. Using equation 5-30, both loops will have a minimum non-approachable phase estimate variance of δ θ2 = 5 x10 −4 , which will cause a minimum SER implementation loss of 0.25 dB according to equation 5-24. 5.8

References

1. L.E. Franks, “Carrier and bit synchronization in data communication - a tutorial review” IEEE Trans. Commun., vol. Com-28, No. 8, pp. 1107-112, Aug 1980. 2. Classen, H. Meyr, and P. Sehier, “Maximum likelihood open loop carrier synchronizer for digital radio”, Proceedings of ICC, 1993. 3. Pooi Yuen Kam, “Maximum likelihood carrier phase recovery for linear suppressed-carrier digital data modulations” IEEE Trans. Commun., vol. 34, No. 6, pp. 520-527, Sept 1986. 4. Classen, H. Meyr, and P. Sehier, “Maximum likelihood open loop carrier synchronizer for digital radio”, Proceedings of ICC, 1993. 5. Surat White and Norman Beaulien, “On the application of Cramer-Rao and detection theory bounds to mean square error of symbol timing recovery” IEEE Trans. Commun. vol. 40, No. 10, pp. 1635-1643, Oct. 1992.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 16

[email protected]

6. Fulvio Gini, Marco Luise, and Ruggero Reggiannini, “Cramer-Rao bounds in the parametric estimation of fading radio transmission channels,” IEEE Trans, Commun. vol. 46, No. 10, pp. 1390-1398, October 1998. 7. R. Reggiannini, “A fundamental lower bound to the performance of phase estimators over Rician-fading channels,” IEEE Trans. Commun. vol. 45, No. 7, pp. 775-778, July 1997. 8. K.C. Ho, “Modified CRLB on the modulation parameters of PSK signal” Proceedings of ICC 1999, pp. 782-785. 9. Ruggero Reggiannini, “A fundamental bound to the performance of phase estimate over Rician-fading channels” IEEE Trans. Commun. vol. 45, No. 7, pp. 775-778, July 1997. 10. Aldo D'Andrea, Umberto Mengali, and Ruggero Reggiannini, “The modified Cramer-Rao bound and its applications to synchronization problems” IEEE Trans. Commun. vol. 42, No. 2/3/4, pp. 1391-1399, Feb/Mar/Apr 1994. 11. E. Dilaveroglu, “Simple expression for worst and best case Cramer-Rao bounds for amplitude and phase estimation of low frequency sinusoid,” Electronics Letters, vol. 35, No. 3, pp. 206-208, Feb 1999. 12. Norman Beaulieu, and Staurt White, “A lower bound on the mean square error of a symbol timing recovery for NRZ rectangular signals,” IEEE Trans. Commun. vol. 43, No. 7, pp. 2183-2183, July 1995. 13. Bucket, and Marc Moencely, “Effect of random carrier phase and timing errors on the detection of narrowband M-PSK and Bandlimited DS/SS M-PSK signals”, IEEE Trans. Commun., vol. 43, No. 2/3/4, Feb/Mar/Apr 1995.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 17

[email protected]

Chapter 6 Feedforward Symbol Timing Synchronization Algorithms and Mitigation of Fading Impact on Receiver This chapter derives the algorithmic details of prominent schemes for estimating feedforward symbol timing. First, we derive the maximum likelihood principle for timing synchronization and then we derive both data aided (DA) and non-data aided (NDA) symbol timing synchronization algorithms. Estimated timing parameters using both algorithms are then compared to the modified Cramer-Rao bound (MCRB) derived in Chapter 5. For receivers operating in mobile channels, we use fading channel simulation to study the performance of the discussed algorithms, then present methods for reducing their deterioration due to Doppler frequency offset and fading signal levels. 6.1 ML Feedforward Synchronization Principle Assuming that the received signal has no frequency offset, symbol timing ( ε ) and carrier phase offset ( θ ) can be jointly estimated using maximum likelihood (ML) criteria. The relevant ML function is given by P( r f / θ , ε ) = ∑ P( a ) P( r f / a,θ , ε )

(6-1)

a

where P ( r f / θ , ε ) is the conditional probability of receiving the matched filter (MF) signal r f on the random variable phase θ and symbol timing error ε . To estimate carrier phase separately, the dependency of the ML function in (6-1) is eliminated by averaging over ε . That is, ⎡ ⎤ P ( rf / θ ) = ∫ ⎢∑ P( a ) P( r f / a,θ , ε ) P(ε )⎥ dε ⎣a ⎦

(6-2)

Similarly, for estimating symbol timing separately, the ML function is averaged over the phase term θ to remove the dependency of the ML on carrier phase. That is ⎡ ⎤ P( r f / ε ) = ∫ ⎢∑ P( a ) P( r f / a,θ , ε ) P(θ )⎥ dθ ⎣a ⎦

(6-3)

Generally equations 6-2 and 6-3 do not have closed-form solutions. So, one must resort to approximations of the ML function to yield reasonable estimates.

The received signal r f ( kTs ) , sampled at the input of the matched filter [1],is given by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

1

[email protected]

r f ( kTs ) = ∑ a n g (kTs − nT − ε 0T )e jθ + n(kTs ) A

(6-4)

n

where Ts is the sampling time and T is the symbol duration, g (kTs − nT − εT0 ) is the convolution of the channel response with the pre-filter impulse response having an associated delay of ε 0T and a phase

shift of e jθ , an is the complex data symbol, A is a scaling factor, and n (kTs ) A is a scaled version of AWGN. Defining a matched filter whose impulse response g MF (kTs ) is matched to the received signal, the MF output is written as z (nT + εT ) = ∑ r f (kTs )g MF (nT + εT − kTs )

(6-5)

k

By substituting equation (6-31), one obtains

⎡⎡ ⎤ n(kTs ) ⎤ z (nT + εT ) = ∑ ⎢ ⎢∑ a m g (kTs − mT − ε oT )e jθ + g MF (nT + εT − kTs )⎥ ⎥ A ⎦ k ⎣⎣ m ⎦

(6-6)

The matched filter output is used as the input to the synchronizer as shown in Figure 5-1. Using equation (6-6), a conditional probability of the received signal on the synchronization parameters and hence the ML equation can be obtained. This ML equation is then appropriately averaged for the estimation of individual synchronization parameter. The normalized likelihood function is then given by [1]

[

⎧ 1 ⎛ L −1 2 P (rf / a,θ , ε ) ∝ exp ⎨− 2 ⎜ ∑ an − 2 Re an∗ zn (nT + εT ) e − jθ ⎩ σ n ⎝ n=0 −1

⎛E ⎞ where σ = ⎜⎜ s ⎟⎟ . The term ⎝ N0 ⎠ 2 n

L −1

discarded because

∑ n=0

L −1

L −1



an

{

an

n=0

an → ∑ E 2

n=0

2

2

{

}

]⎞⎟⎠⎫⎬ ⎭

(6-7)

is independent of synchronization parameters and can be

}= constant. Approximated ML equation based on use of (6-

7) is given by,

⎧ 2 ⎤⎫ ⎡ L −1 l(a,θ , ε ) = exp ⎨− 2 Re ⎢∑ an∗ zn (ε )e − jθ ⎥ ⎬ ⎦⎭ ⎣n =0 ⎩ σn

(6-8)

Equation 6-8 can be used to estimate any synchronization parameter individually by eliminating the unwanted parameters through approximation and averaging per (6-2) and (6-3). Although (6-8) is based on the presence of only timing and phase synchronization errors, it could have easily been modified to include a frequency offset. In the ensuing derivation of the synchronization algorithms based on equation 6-8, the following assumptions are made: •

Ideal channel and no propagation effects are present.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

2

[email protected]



The parameters to be estimated are assumed to be either constants or slowly varying within the estimation interval.



The data pulses are Nyquist shaped.



The matched filter has a symmetric frequency response within the bandwidth of the received signal.



The combination of channel response and the pre-selector has a flat frequency response.



No inter symbol interference (ISI) is present.

6.2 Variances of Feedforward Symbol Timing Estimator Output

The MCRB for symbol timing was defined in [2]. For symbols that are shaped by raised cosine filter with Roll-off factor α , the MCRB for symbol timing estimation is given by, ⎛ ⎞ ⎟ 1 ⎛ 1 ⎞⎜ 1 T − εˆT ⎤ ⎟ ≤ var ⎡ ⎜⎜ ⎟⎟⎜ MCRB (εˆ ) = ⎢⎣ T ⎥⎦ 2 L ⎝ Es N 0 ⎠⎜ α 2π 2 + 1 π 2 − 8α 2 ⎟ ⎜ ⎟ 3 ⎝ ⎠

(6-9)

where Es/No is the operating symbol energy to noise ratio, and L is the estimation interval. Figure 6-1 plots (6-9) for symbol timing estimates as a function of observation interval L and Es/No. Figure 2-16 shows that the lower bound decreases infinitely by increasing Es/No. However, with the practical symbol timing synchronizer, variances reach an irreducible value for high Es N 0 ( E s N 0 ≥ 20dB ), where the variances become independent of Es N 0 and inversely proportional to the observation length L. The degradation is due to the self-noise generated by nonlinear processing of the matched filter output while extracting the symbol timing signal. For moderate Es N 0 ( E s N 0 ≥ 10dB ) the variance decreases inversely with the observation interval L and Es N 0 .

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

3

[email protected]

0

10

-2

10

-4

MCRB

10

-6

L=50

10

L=100 L=500

-8

10

-10

10

5

0

10

15

25

20

30

35

40

45

50

Eb/No

Figure 6- 1: MCRB for symbol timing vs. Eb/N0 for observation intervals L=50, 100, and 500, with Rolloff = 0.75. 6.3 ML-Based Symbol Timing Estimation Algorithms Classification of ML-based synchronization emerges from the way data dependency in (6-8) is eliminated. When the data sequence is known, for example, a preamble sequence {a0 } is sent during initial TDMA burst (data aided (DA)) acquisition, only one term of the sum in (6-8) remains.) Hence, the estimation of ε or θ using (6-8) reduces to maximizing the likelihood function P (r f / a = a 0 ,θ , ε ) by ⎫ max (P (rf / a = a0 ,θ , ε ))⎬ ⎭ ⎩ θ ,ε

(θ , ε )DA = arg ⎧⎨

(6-10)

If an estimate of {a0 } is used in equation (6-8), we speak of decision-directed (DD) synchronization algorithms; thus the estimates of ε or θ using (6-8) are obtained by, ⎫ max (P (rf / a = aˆ ,θ , ε ))⎬ ⎭ ⎩ θ ,ε

(θ , ε )DD = arg ⎧⎨

(6-11)

where aˆ is an estimate of a . For high SNR, aˆ → a , DA and DD algorithms have the same performance. If the operation used in (6-8) to obtain estimates of ε or θ is averaged, we speak of Nondata aided algorithms (NDA).

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

4

[email protected]

6.4 Data and Decision Aided Symbol Timing Estimation Algorithms With the aid of the detected preamble symbols given by aˆ , equation (6-8) is modified to represent the decision aided ML estimator,

⎧ 2 ⎡ L −1 ⎤⎫ l(aˆ , θ , ε ) = exp ⎨− 2 Re⎢∑ aˆ n∗ z n (ε )e − jθ ⎥ ⎬ ⎣ n =0 ⎦⎭ ⎩ σn

(6-12)

where n is the symbol number, and L is the observation length in symbols. Now, equation (6-12) can be reconfigured to yield a separate estimation process for phase and timing. To this end, define a new variable L −1

µ (ε ) = ∑ aˆ n∗ z n (ε )

(6-13)

n =0

which can be represented in the polar form as

µ (ε ) = µ (ε ) e j arg µ (ε )

(6-14)

Substituting equation (6-14), the final DA ML-equation becomes

[

]

⎫ ⎧ 2 ˆ l(aˆ , θ , ε ) = exp ⎨− 2 Re e − j (θ −arg µ (ε )) µ (ε ) ⎬ ⎭ ⎩ σn

(6-15)

Now DA symbol timing estimates is obtained by maximizing equation (6-15) over both phase and timing errors. That is

{

}

max{l( aˆ ,θ , ε )} = max{ µ (ε ) Re e − j (θ −arg µ (ε )) } θ ,ε

θ ,ε

(6-16)

By inspection of (6-16), timing estimate εˆ can be obtained independent of phase information by maximizing µ (ε ) , because e jθ = 1 . Thus εˆ is given by



L −1



n =0



εˆ = arg⎜⎜ max ∑ aˆ n∗ z n (ε ) ⎟⎟ ⎝

ε

(6-17)

The operation of the DA symbol timing estimation algorithm in (6-17) is illustrated below. Consider a PCS communication receiver having preamble symbols that consists of an alternating sequence of ones and zeros, or a = [1,0,1,0,1,0,.....1,0] , and assume that the received MF one-zero pattern sequence ( aˆ ) is the one given in Figure 6-2. Applying (6-17), Figure 6-2 shows the resultant signal based on the sampleby - sample operation using the DA algorithm in (6-17). By maximizing the result over ε , it becomes apparent that there is an error that is equal to 12 to 13 samples in lining up the two sequences, which correspond to the optimal symbol sampling instances of the received signal sequences, before entering the data decision detector.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

5

[email protected]

2

5 4

1.5

3 1 2 1 volts

Volts

0.5 0

0 -1

-0.5

-2 -1 -3 -1.5 -2

-4

0

200

400

600

800 1000 sample #

1200

1400

1600

1800

-5

0

1000

2000

3000 4000 Sample #

5000

6000

7000

Figure 6- 2: Data aided preamble (left), and the received noisy preamble sequence for DA/DD symbol timing recovery. 5 4.5 4 3.5

Volts

3 2.5 2 1.5 1 0.5 0 2000

2200

2400

2600 sample #

2800

3000

Figure 6- 3: Output of Equation (6-17) indicating maximum values at optimal timing instances. 6.5 Spectral Line NDA Symbol Timing Estimation Algorithm The algorithm presented in the previous section is based on using data preambles, which must be detected reliably by the receiver prior to obtaining synchronization. To obtain an estimate that is independent of preamble, the ML function of equation (6-12) (with no frequency-offset error) is maximized over εT by eliminating its dependency on θ phase and data {a}. This is achieved by employing a magnitude nonlinear operation F (.) on the (cyclostationary) MF output signal z ( kT + εT ) . The effect of the nonlinearity is to produce a spectral component at the symbol rate, from which symbol timing estimates are derived. Thus the resultant ML equation can be shown to be [1,15,17] Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

6

[email protected]

L −1

l(ε ) = ∑ F ( z (kT + εT ) )

(6-18)

k =0

where kT is the sampling instant, and F ( z (kT ) ) is an appropriate nonlinearity performed on the MF output z(kT). An example of such nonlinearity is the magnitude square law detector. Substituting this nonlinearity into equation (6-18) one gets L −1

l(ε ) = ∑ z (kT + εT )

2

(6-19)

k =0

It can be shown that z (kT − εT ) is a cyclostationary signal [1], implying that it contains symbol periodicity statistics within reasonable observation interval. The symbol rate clock is estimated by solving for the value of ε , which maximizes equation (6-19) over the interval of L symbols and the timing offset range of [− T 2, T 2] . According to Poisson's theory [1,229,241], any cyclostationary signal can be represented by a Fourier.Applying this using the ML in (6-19) produces, 2

L −1

z (kT + εT ) = 2

∑C e

k =− L

j 2πkε

(6-20)

k

The Fourier coefficients C k are random variables defined by 1

Ck = ∫ z (kT + εT ) e − j 2πkε dε 2

(6-21)

0

Maximizing equation (6-19) now is equivalent to maximizing its Fourier series. That is ⎛ L −1 ⎞ ⎛ ⎞ max⎜ ∑ Ck e j 2πkε ⎟ = max⎜ C0 + 2 Re C1e j 2πε + ∑ 2 Re Ck e j 2πkε ⎟ k ≥2 ⎝ k =0 ⎠ ⎝ ⎠

{

}

{

}

(6-22)

Observing that C0 is not a function of timing estimate, and treating the sum of the higher order terms

∑ 2 Re{C e k ≥2

k

j 2πkε

} as disturbances, the maximization is only carried out over the second term coefficients

C1 . Thus optimum symbol timing estimate εˆ is obtained as

εˆ = −

T arg (C1 ) 2π

(6-23)

where arg(C1 ) is the phase angle of C1 , which is defined as, L −1 ⎛ 1 ⎞ 2 C1 = ∑ ⎜⎜ ∫ z (kT + εT ) e − j 2πε dε ⎟⎟ k =0 ⎝ 0 ⎠

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

(6-24)

7

[email protected]

Generalizing this to any nonlinearity F ( z (kT ) ) , the non-data aided timing algorithm (NDA) estimate is given by

εˆ =

2π −j k⎤ ⎡ L −1 −T arg ⎢ ∑ F ( z (kT ) )e N ⎥ 2π ⎣ k =0 ⎦

(6-25)

where N is the ratio of samples to symbols (over-sampling factor). For N=4, equation (6-25) simplifies −j



k

to a multiplication free form because e 4 is either ± 1 or ± − 1 . This simplifies implementation with DSP processors and hence is commonly employed in commercial systems. Because of F ( z (kT ) ) in (6-25), the carrier frequency offsets of the received signal do not have any effect on symbol timing estimation. This can be easily proven for PSK signals. Consider the output of a BPSK modulator given by, sT ( t ) =



∑a

n

g T (t − nT )

(6-26)

n = −∞

where { a n } are the transmitted BPSK data symbols. The signal after frequency offset insertion can be represented by sT ( t ) =



∑a

n

g T (t − nT )e − j 2π∆ft

(6-27)

n = −∞

when performing symbol timing estimation using (6-250) using the nonlinearity z (kT ) yields, 2

sT (t − εT ) = 2

2



∑a g n

T

(t − nT − εT )e

− j 2π∆ft

(6-28)

n = −∞

=



∑ a h(t − nT − εT )

2

n

n = −∞

which is independent of the frequency offset. Hence, timing estimates in (6-25) are independent of frequency offsets.

6.6 DFT-Based NDA Symbol Timing Estimation Due to the magnitude nonlinearity operation z (kT − εT ) in (6-25), any frequency offset associated with the matched filter signal z (kT ) would be lost, as

z (kT − εT )e − j 2π∆f = z (kT − εT ) ˆ

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

(6-29) 8

[email protected]

To preserve frequency offset information, other means of achieving data independence in equation (618) can be used. One way is to use z (t ) z ∗ (t − T ) instead of z (t ) in equation (6-25). This nonlinearity is referred to as the delay-conjugate-multiply nonlinearity (DELCONJ). Using DELCONJ non-linearity, timing estimates can be extracted from the MF-signal z(t ) by performing the differential operator z (t )z ∗ (t − T ) , which will extract line spectra at the symbol rate. Unlike the component created by using the magnitude nonlinearities detailed above, the output of this nonlinearity is a complex signal, whose DFT representation has a DC term and two strong spectral components. Since the DELCONJ nonlinearity output is affected by carrier frequency offsets, this effect makes it possible to explore both spectral terms to obtain an estimate of symbol timing and frequency offsets as joint estimation. Simulations indicate that the algorithm has higher sensitivity to fading and frequency offset residuals. The symbol timing estimates is also noisier, since the DELCONJ nonlinearity is viewed as a squaring operator that enhances the background noise by causing doubling of the noise spectrum. Assuming that the matched filter output signal in Figure 6-6 is given by ⎡ ⎤ z (t ) = ⎢m(t )e jθt ∑ a n g (t − nT ) + n(t )⎥ e j 2π∆ft n ⎣ ⎦

(6-30)

where m(t )e jθt is the channel gain with varying phase, n( t ) is AWGN, ∆f is the carrier frequency offset, g (t − nT ) is the pulse shape of the combined transmitter and receiver matched filters, and {a n } are the complex data symbols. Assuming that the channel changes little between symbols (i.e., negligible channel phase and gain variation), the output of the DELCONJ nonlinearity is given by z (t )z ∗ (t − T ) = m(t )e jθt e j 2π∆fkT σ a2 ∑ g (t − nT )g ∗ (t − T − nT ) + G (.) 2

(6-31)

n

where G (.) is a non-periodic function not of interest and σ a2 = E

{

an

2

} is the averaged data.

Following the analysis of equations (6-20) and (6-31), z (t )z (t − T ) contains a spectral component at the symbol rate f = ±1 / T , whose phase is used to estimate both ∆f and optimum sampling instants ∗

kT + εT . The term m( t )e jθt is a narrowband process (i.e., variation is low compared to symbol rate), which causes smearing of the recovered symbol rate signal from its nominal frequency Its analysis is presented in the subsequence chapters. Ignoring the DC term and treating the 2nd and higher order terms in equation (6-30) as disturbances, the two coefficients ( C ±1 ) are defined as C −1 = ∑ z (kT )z



((k − 1)T )e

−j

2πkn N

(6-32)

k

and C +1 = ∑ z (kT )z ∗ ((k − 1)T )e

j

2πnk N

(6-33)

k

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

9

[email protected]

When no frequency offset is present and time dispersion of the channel is small, the terms C−1 and C+1 can be used to obtain symbol-timing information. That is 2πkn ⎛⎡ −j ⎤⎞ −T ∗ ⎜ εˆ = arg ⎢∑ z (kT )z ((k − 1)T )e N ⎥ ⎟ ⎟ ⎜ k 2π ⎦⎠ ⎝⎣

(6-34)

A quick inspection of the algorithm (6-34) reveals that it is identical to (6-25), except that it uses a DELCONJ nonlinearity instead of absolute value based nonlinearities. When frequency offset is present, the frequency estimation process has to be performed to correct the signal prior to symbol timing estimation. Scott and Olasz [23] derived an algorithm for recovering frequency offsets ∆f independent of symbol timing given by ∆f =

1 arg{C −1C +1 } 4πT

(6-35)

Figure 6-4 shows one proposed implementation of this algorithm, which yields a frequency offset and symbol timing estimates based on equations (6-34) and (6-35) respectively. After the offset ∆f in (6-35) is corrected (see Figure 6-4), the symbol timing offset is obtained as shown in Figure 6-4 by computing

εˆ =

(

−T ˆ arg C −1C +1e − j 2π∆fkT 2π e

Matched filter

+j

)

2πk N

X

∑( )

X

∑( )

L

z (kT )

BPF

X

Received Signal Z −1

()

z ((k − 1)T )

L



e

Complex Signal Real Signal

−j

2πk N

C+1

C−1 X

1 arg ( 4πT

∆f

)

e− j2π∆f

εˆT

−T arg( 2π

)

X

Figure 6- 4: DFT-based algorithm for symbol and frequency offset estimation. Notice that the algorithm is an implementation of the Fourier transform of z (t )z ∗ (t − T ) evaluated at a single frequency bin of ± 1 / T corresponding to the symbol rate. Using the computer model in Chapter 2, the algorithm will not yield acceptable performance unless the matched filter output signal is postprocessed by a bandpass filter. The filter bandwidth depends on the Roll-off factor ( α ) . The filter should be designed with a center frequency at the spectral component of interest in z ( kT ) , which Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

10

[email protected]

contributes to the periodic component of interest in z ∗ (( k − 1)T ) z (kT ) . Roughly, the BPF should have a center frequency of 1 / 2T Hz. This is not squaring since the DELCONJ can be viewed as a squarer; components at 1/2T will be placed at 1/T. 6.6.1

Removing Dependency on Frequency Offset

During the analyses of the DELCONJ timing algorithm in (6-34), it became apparent that the symbol timing error offsets were estimated in a similar fashion to (6-25), yet independent of frequency information. By taking the DFT of the DELCONJ nonlinearity output ( ℑ{z ∗ (( k − 1)T ) z (kT )} ) on a burst-by-burst basis - but evaluated over a range of bins that extend over the frequency offset range near f = ±1 / T (designated as C+1 and C−1 in equations (6-32) and (6-33)) - symbol timing estimate can then be obtained in the frequency domain and independent of frequency offset. If frequency offset is present, −1 +1 both C+1 and C−1 components are miss-located at fˆC−1 = + ∆f and fˆC+1 = + ∆f . By taking the T T difference of the phases ( φC+1 − φC−1 ), where φC −1 = −2πεfˆC +1 + 2π∆fε + θ and φC +1 = 2πεfˆC +1 + 2π∆fε + θ , then substituting the values of fˆ and fˆ , one obtains, C +1

C −1

φC = −2πε (

+1 + ∆f ) + 2π∆fε + θ T

(6-36)

φC = −2πε (

−1 + ∆f ) + 2π∆fε + θ T

(6-37)

+1

and

−1

Taking the difference of (6-36) and (6-37), the symbol timing error ε is obtained as

ε=

1 2π

⎛ φC +1 − φC −1 ⎜⎜ 2 ⎝

⎞ ⎟⎟ ⎠

(6-38)

which is independent of frequency offset ∆f present in the MF output.

6.7 Impact of Nonlinearity Type on Feedforward Symbol Timing Estimation

The effectiveness of the nonlinearity used in NDA symbol timing estimation under various channel conditions is often reflected by: •

Strength of the PSD peak at symbol rate,



Noise enhancement and ‘Self-Noise’ generation and



Frequency offset effects.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

11

[email protected]

The nonlinearity F ( z (kT + εT ) ) in (6-25) and z (t )z ∗ (t − T ) in (6-34) are chosen to extract the periodical spectral line, from which symbol timing estimates are obtained. Several other nonlinearities were reported in the literature. As an example, the square-law rectifier (SLR) given by F ( z (kT ) ) = z I (kT ) + jzQ (kT )

2

(6-39)

Another nonlinearity example is the absolute-value rectifier (AVR) given by F ( z (kT ) ) = z I (kT ) + jzQ (kT )

(6-40)

where z I (kT ) and z Q (kT ) are the in-phase and quadrature phase components of the MF output z (kT ) . It has been shown that the AVR nonlinearity provides preferable jitter performance for both static and Rayleigh channels. Similar results were reported by D’Andrea and Mengali in [24]. In addition, another nonlineairty was investigated using fourth-law rectifier (FLR) nonlinearity defined as F ( z (kT ) ) = z I (kT ) + jzQ (kT )

4

(6-41)

which has excellent performance for systems operating with small Roll-off factors of less than 0.20. Another magnitude-based nonlinearity for QPSK systems given by F ( z (kT ) ) = z I (kT ) + zQ (kT )

(6-42)

This nonlinearity uses three absolute operations which save a number of computational steps over (642). Figure 6-5 through Figure 6-9 present the PSD plots for the output of the non-linearity z (kT ) , z (kT ) , z (kT ) , and z (kT )z ∗ (( k − 1)T ) for Roll-off values of 0.75 and 0.35 and a 16QAM signal with 2

4

10ksps. The figure indicates that among the nonlinearities considered, the AVR nonlinearity provides the largest SNR of the symbol rate component as indicated by the spectral peak at the symbol rate. Furthermore, these simulations confirm that the background interference power depends on the type of nonlinearity and the Roll-off value used. Higher Roll-off factors yield higher SNR.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

12

[email protected]

50

Power Spectrum Magnitude (dB)

40 30

|z(kT)|

DC- term

roll-off=0.75 16QAM

20 10 0 -10 -20 -30 0

0.2

0.4

0.6

0.8

1 1.2 Frequency

1.4

1.6

1.8

2 x 10

4

Figure 6- 5: PSD of AVR nonlinearity with Roll-off = 0.75. 50 40 30 DC- term

|z(kT)|

20

roll-off=0.35 16QAM

10 0 -10 -20 -30 -40

0

0.2

0.4

0.6

0.8

1

1.2

Frequency

1.4

1.6

1.8

2 4

x 10

Figure 6- 6: PSD of AVR nonlinearity with Roll-off = 0.35.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

13

[email protected]

50 40

DC- term

|z(kT)|2 30 roll-off=0.75 16QAM

20 10 0 -10 -20 -30 0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

2 4

Frequency

x 10

Figure 6- 7: PSD of SLR nonlinearity with Roll-off = 0.75. 10

0

Delconj z(kT)z*((k-1)T)

DC- term

roll-off=.75 16QAM

-10

-20

-30

-40

-50

0

0.2

0.4

0.6

0.8

1

1.2

1.4

Frequency

1.6

1.8

2 4

x 10

Figure 6- 8: PSD of DELCONJ nonlinearity with Roll-off = 0.75.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

14

[email protected]

50

|z(kT)|4

40

roll-off=0.75 16QAM

30 20 10 0 -10 -20 -30 0

0.2

0.4

0.6

0.8

1

1.2

1.4

Frequency

1.6

1.8

2 4

x 10

Figure 6- 9: PSD of FLR nonlinearity with Roll-off = 0.75.

6.8 Impact of Roll-off Factor on Feedforward Symbol Timing Estimation

The magnitude of the spectral line obtained by the nonlinearity operation is strongly dependent on the Roll-off factor used. Let q(t) be the raised-cosine shaped signal at MF, and then assume that the transmission channel is flat and has a constant gain of γ ch . The representation of q(t) after propagating 1 through the channel γ ch (t ) is represented in the frequency domain by the DFT at spectral lines f = ± 2T that are relevant for symbol timing after squaring or using the AVR. Q( f +

T⎡ α πfT ⎤ 1 ) = ⎢1 − sin( )⎥ for 0 ≤ f ≤ α ⎦ 2T 2⎣ 2T

(6-43)

Q( f −

α 1 T⎡ πfT ⎤ ) = ⎢1 + sin( )⎥ for 0 ≤ f ≤ 2T 2⎣ α ⎦ 2T

(6-44)

and

Using (6-43,44), the signal power out of the nonlinearity as a function of Roll-off factor is given by [23]

A( f ) =

2σ γ ch 2 a

T

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

f=

α 2T



f =0

Q( f +

1 1 )Q ( f − ) cos( 2πfT )df 2T 2T

(6-45)

15

[email protected]

Performing the integration in (6-45) for different Roll-off factors, the impact of the pulse Roll-off on the magnitude of the periodic signal is analyzed. Equation (6-45) shows that the spectral line strength is proportional to: •

Symbol variance σ a2 .



Channel gain γ ch .



Gain of Q ( f ) .



Roll-off factor α .

Using the M-QAM simulation model in chapter 2, a strip of random 8000 symbols are used to study the effect of Roll-off factor on the strength of the recovered symbol rate signal. Studies are conducted using 2 4 nonlinearities of z (kT ) , z (kT ) , z (kT ) , and z (kT )z ∗ (( k − 1)T ) for 16-QAM and 4-QAM (QPSK). Figure 6-10 shows the amplitude of the symbol rate signal recovered using the indicated nonlinearities as a function of Roll-off factor for 16-QAM. For reference, the analytical expression of (6-45) is also plotted (Scott [23]). The figure shows that AVR has the best performance. The figure also shows that SLR and DELCONJ have the same performance, while FLR nonlinearity is the worst. Figure 6-11 presents the results of the study conducted for QPSK. Both figures indicate a slight discrepancy between the analytical results of (6-45) and the experimental results obtained by simulations. This discrepancy is due to the difference in the implementation of the RCF using FIR techniques, and the use of a constant bandwidth when measuring the spectral line power that is a function of Roll-off factor. Nevertheless, it is used to observe the impact of roll-off factor on the strength and quality of the extracted spectral line. 1

0.9

16-QAM

Relative Spectral Peak streangth

0.8

0.7

Sscott [127]

0.6

0.5

0.4

0.3

AVR

0.2

FLR SLR & DELCONJ

0.1

0

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Roll-Off Factor

Figure 6- 10: Relative spectral peak strength vs. Roll-off for 16-QAM.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

16

[email protected]

1

0.9

QPSK

Relative Spectral Peak streangth

0.8 Sscott [127]

0.7

0.6

0.5

AVR

0.4

0.3 SLR & DELCONJ

0.2

0.1

0

0

0.1

0.2

0.3

0.4

0.5

Roll-Off Factor

0.6

0.7

0.8

0.9

1

Figure 6- 11: Relative spectral peak strength vs. Roll-off for QPSK.

6.9 Feedforward Symbol Timing Correction using Interpolators After the symbol timing information εˆ is obtained, interpolator filters use this to generate the sample strobe instant that corresponding (??) to the maximum eye opening. The corrected re-sampled signal is then used for data decision after both carrier phase and offset have been estimated as shown in Figure 512. The interpolator is implemented using FIR interpolating filters [263,256], whose coefficients are adapted according to the estimated timing error. rf (kT )

Re {.}

MF

Im {.}

Timing estimators

Interpolator

Delay

εˆ

I

Decimator

Q

Estimate postprocessing

Figure 6- 12: Computer model for symbol timing estimation and correction. Gardner [26,27] reported the performance of second and fourth degree polynomials for MPSK modems. The interpolation performance is measured in terms of timing jitter, BER deterioration, and pulse distortion (amplitude and frequency). Gardner showed that using a perfect synchronizer and an oversampling factor of at least 2.5 linear interpolator introduces only 0.1 dB of BER deterioration for MPSK. Phase and amplitude distortion by interpolators can be compensated for by using several techniques reported in [27]. Implementation of this polynomial filter takes two steps. First, for the determined polynomial order, the variable FIR filter coefficients are computed online (or by table look-up) based on the symbol timing error estimate ( εˆ ) update. Then, the corrected sequence is obtained as the output of the interpolating filter. The polynomial coefficients h(n) are obtained using LaGrange interpolation [27] formulas given by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

17

[email protected]

N

h(n ) = ∏ k =0 k ≠n

εˆ − k

(6-46)

n−k

where, N+1=L is the length of the filter, and εˆ is the fractional timing error. For linear interpolation, where N=1 and L=2, the interpolator FIR coefficients are given by

h(0) = 1 − εˆ

(6-47)

h(1) = εˆ

(6-48)

With samples into the interpolating filter at T/N, the interpolated data point xint (k ) is given by x int ( k ) = x ( kT + εT ) = h (0)x ( kT ) + h (1)x ( kT − T )

(6-49)

x int = (1 − εˆ )x ( kT ) + εˆx ( kT − T )

(6-50)

which reduces to

Using (6-50), the system function of the interpolator filter is given by X int (z ) = (1 − εˆ )z −1 + εˆ X (z )

(6-51)

Figure 6-13 shows an implementation of (6-51) using FIR topology, where εˆ is fed from symbol timing estimator.

x(kT )

Resampling at (k + εˆ)T

L-symbol delay z

Symbol timing recovery

εˆ

-

−1

x((k + εˆ)T )

+

X

+

Figure 6- 13: First order interpolator for symbol timing correction. Higher order polynomials can be developed accordingly; for example, the interpolator samples using a cubic polynomial is given by xint (k ) = h(3) x(k − 3) + h(2) x(k − 2) + h(1) x(k − 1) + h(0) x(k )

(6-52)

where the coefficients are given by [1]

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

18

[email protected]

h(0 ) = −

1 (εˆ − 1)(εˆ − 2)(εˆ − 3) 6

(6-53)

1 h(1) = − εˆ (εˆ − 2 )(εˆ − 3) 2

(6-54)

1 h(2 ) = − εˆ(εˆ − 1)(εˆ − 3) 2

(6-55)

h(3) =

(6-56)

1 εˆ (εˆ − 1)(εˆ − 2 ) 6

One possible implementation of the cubic interpolator for symbol error correction according to (6-52) is shown in Figure 6-14. Though the cubic interpolator has higher computational load than the linear interpolator, the interpolated output sample is more accurate. Cubic interpolator has flatter frequency response as it uses four points to derive the new sample. The polynomial interpolating filters tend to induce waveform distortion due to their nonlinear phase characteristics and amplitude attenuation. This is in addition to the Gibbs phenomenon of deterioration of magnitude response close to the Nyquist frequencies. Amplitude distortion results directly in receiver SNR deterioration, while frequency and phase distortion result in inaccurate symbol re-sampling that lead to SNR reduction. x(kT) 1/6

0 z

+

-1/6

1/2

+

-1

-1/6

x

εˆ

+

+

1/2

−1

z

+

1

−1

−1

z

+ x

0

−1

-1/2

z

+

z

+ z

−1

−1

+

1

−1

z

z

−1

z

+

1/2

−1

z

+

−1

z

+

-1/2

0

−1

−1

+

-1/3

z

+ x

++

0

x((k + εˆ)T)

Figure 6- 14: Cubic interpolator for symbol timing correction.

Figure 6-15 shows phase delay responses of the LaGrange interpolators with linear and cubic 1 polynomials. When εˆ is closer to 0 or ± the delay response is flatter. Figure 6-16 presents amplitude 2 versus frequency and delay distortion responses as a function of εˆ for the linear interpolator, and confirms that filters provide excellent approximation at low frequencies. However, the approximation bandwidth grows as εˆ increases. It is usually a good design practice to use an input signal that is at least over-sampled ten times into these interpolators.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

19

[email protected]

0.5

0.4

0.3

0.2

0.1

ε = 0.5 ε = 0.47368 ε = 0.44737 ε = 0.42105 ε = 0.39474 ε = 0.36842 ε = 0.34211 ε = 0.31579 ε = 0.28947 ε = 0.26316 ε = 0.23684 ε = 0.21053 ε = 0.18421 ε = 0.15789 ε = 0.13158 ε = 0.10526 ε = 0.078947 ε = 0.052632 ε = 0.026316

Normalized PHASE DELAY ε

Normalized PHASE DELAY ε

0.6 FIR Linear Interpolator

0.4

0.3

0.2

0.1

0

-0.1 0

0.5

FIR Cubic Interpolator

ε= 0.5 ε = 0.47368 ε = 0.44737 ε = 0.42105 ε = 0.39474 ε = 0.36842 ε = 0.34211 ε = 0.31579 ε = 0.28947 ε = 0.26316 ε = 0.23684 ε = 0.21053 ε = 0.18421 ε = 0.15789 ε = 0.13158 ε = 0.10526 ε = 0.078947 ε = 0.052632 ε = 0.026316

0

0.2

0.4 0.6 0.8 NORMALIZED FREQ.

1

0

0.2

0.4 0.6 0.8 NORMALIZED FREQ.

1

Figure 6- 15: Variation of interpolator delay responses with ε. 1

ε =.5

0.9

MAGNITUDE RESPONSE

0.8

X int ( jw, ε ) X ( jw, ε )

0.7 0.6

Linear Interpolator steps of ε =0.0260

0.5 0.4 0.3 0.2 0.1

ε =.026 0

0

0.2

0.4 0.6 NORMALIZED FREQ.

0.8

1

Figure 6- 16: Variation of amplitude response of linear interpolator with ε. Based on the simulation work by Gardner [26,27], several desirable features for cubic interpolators are identified: •

Cubic interpolator frequency response features broad nulls that are centered at the harmonics of the sampling frequency (Fs, 2Fs, …, nFs), which coincides with spectral images of the input sample sequence. Therefore stopband attenuation is concentrated where it is needed most. The nulls also are much wider than those of linear interpolator.



The main lobe of the cubic interpolator is relatively flat over a frequency range wider than that of linear interpolators. The attenuation at half the symbol frequency is only 0.6 dB [26].

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

20

[email protected]



Sidelobes were down by –30 dB improving receiver selectivity.



The magnitude response never exceeds unity when the delay is near half the filter length, thus avoiding the need for normalization networks.

Lakso [28] reported a detailed comparison of several polynomials based on FIR and all pass filters. Zhang [29] proposed a different implementation of the cubic interpolator, which yields 1 dB improvement in receiver BER performance. Further, the DC gain of the interpolator is independent of symbol timing error, and the even symmetry of the coefficients that allow simpler DSP implementation. Kirke reported a family of higher order interpolators suitable for use in variable-rate receivers [30]. Another approach is to combine a symbol timing interpolator filter with a decimating filter [31]. 6.10

Performance of NDA Symbol Timing Estimation in AWGN

The model in Chapter 2 was used to test the ML symbol-timing estimator of (6-25) and (6-34) for different nonlinearities and under different channel conditions. For experimentation, an intentional symbol timing error with ε = 0.25 is inserted into M-QAM symbol stream using the error insertion network shown in Figure 2-24 A Roll-off factor of 0.75 and observation interval of 400 samples (or L=100 symbols) were used. The NDA timing estimates (as per (6-25)) for the two cases of Eb N o = 40 dB and Eb N o = 6 dB, are presented in Figures 6-17a and 6-17b for a strip of 4000 samples (or 1000 symbols). Figure 6-17c illustrate the symbol timing estimation for smaller roll-off of α =0.35. a) Roll-off=0.75 Eb/No =40 dB

0.4 0.3 0.2 0.1 0

500

1000

1500

2000

2500

0.4

3000

3500

4000

b) Roll-off=0.75 Eb/No =6 dB

0.3 0.2 0.1 0

500

1000

1500

2000

2500

3000

3500

4000

c) Roll-off=0.35 Eb/No =40 dB

0.4 0.3 0.2 0.1

0

500

1000

1500

2000

2500

3000

3500

4000

Index sample

Figure 6- 17: NDA timing estimates as function of Eb/No and Roll-off.

Based on the results of Figure 6-17, it is clear that the mean value of the estimates converges to the inserted timing error (estimator is unbiased). The accuracy of the estimation improves with Eb N o , while the accuracy of the estimation improves with wider bandwidth (larger Roll-off). Through exhaustive simulations the timing algorithm performance is characterized using the statistical means and variances of the estimators. Figure 6-18 presents the behavior of the timing estimator Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

21

[email protected]

variance with Eb N o for different nonlinearities. The results correspond to a Roll-off of 0.75, observation interval of L=100 symbols and a stretch of 8000 symbols. These variance plots can be used to predict the overall BER degradation of the receiver as a result of the non-ideal synchronizer, as indicated in Chapter 5. -1

10

-2

10

|z(kT)|4 -3

Variance

10

z(kT)| z*((k-1)T)|

-4

10

|z(kT)|2 -5

10

|z(kT)|

-6

10

0

10

20

30

40

Eb/No

Figure 6- 18: Timing estimate variances vs. Eb/No for different nonlinearities with Roll-off = 0.75 and L = 100. The following inferences can be made from the variance plots in Figure 6-18: •

Absolute value nonlinearity yields the most accurate estimation, followed by square law nonlinearity and DELCONJ nonlinearity. The results are in accordance with those presented in Figure 2-26.



Estimates are unbiased.



The variance improves with Eb N o up to Eb N o = 25 dB.



The variance approaches an irreducible value after Eb N o =25 dB.



Similar performance is observed for DELCONJ and square law magnitude non-linearity, which is in accordance with the results shown in Figure 6-10 and Figure 6-11.

The experiments in Figure 18 repeated symbol timing estimates for different observation lengths and Roll-off factors. Figure 6-19 shows the variance performance of the absolute value nonlinearity for Rolloff factors of 0.75 and 0.35. As predicted, the performance degrades for smaller Roll-off factors. Figure 6-20 shows the variance performance for observation lengths of L=50, L=100, and L=500 symbols showing that the variance improves with L, which is in accordance with (6-36). Figure 6-21 shows the symbol timing estimation comparison between QPSK and 16-QAM. The figure indicates that QPSK and 16-QAM NDA symbol timing recovery have similar performance for moderate Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

22

[email protected]

Eb N o values. However, for large Eb N o values, QPSK symbol timing estimation outperforms 16QAM. The reason lies in the nonlinearity generated self-noise [1], as QPSK has less self-noise than 16QAM signalsbecause it has fewer constellation points and smaller envelope variation. 10

Variance

10

10

-1

-2

-3

α=0.35 10

-4

α=0.75 10

-5

0

5

10

15

20

25

30

35

40

Eb/No

Figure 6- 19: Timing estimate variances vs. Eb/No for AVR nonlinearity with Roll-off = 0.75 , 0.35, and L = 100. -1

10

-2

10

-3

Variance

10

-4

10

L=50 -5

L=100

10

L=500

-6

10

0

10

20

30

40

50

Eb/No

Figure 6- 20: Timing estimate variances vs. Eb/N0 for AVR nonlinearity with Roll-off = 0.75, and L = 50, 100, and 500.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

23

[email protected]

10

-1

QPSK/16QAM 10

Variance

10

10

-2

-3

-4

QPSK

10

-5

16-QAm

10

-6

0

10

20

30

40

Eb/No

Figure 6- 21: Timing estimate variances vs. Eb/N0 for QPSK vs. 16 QAM with Roll-off = 0.75, and L = 100. 6.11

Performance of NDA Timing Algorithms in Presence of Frequency Offset

NDA algorithms that use absolute value nonlinearities (AVR, SLR, and FLR) in (6-25) are immune to frequency offset in the matched filter output as demonstrated by (6-29). However, NDA algorithms that use the DELCONJ nonlinearity (6-34) degrade with frequency offsets present in the matched filter output. Figure 2-22 shows the timing error variance performance for recovering a 1/4T intentional symbol error inserted into a strip of 8000 symbols at 10ksps that has –1000 Hz frequency offset (10% clock drift). The results show that the algorithm has higher variance as a result of the frequency offset. This impact limits the use of DELCONJ-based NDA algorithms to cases where the frequency offset is accurately estimated and corrected prior to symbol timing recovery. To use this estimate, the system in Figure 6-4 is used where this frequency is estimated using the algorithm in (6-35) before using the time estimator (6-34). -1

10

16QAM Roll-off =0.75

-2

10

DELCONJ, with freq. offset

-3

variance

10

-4

10

DELCONJ, without freq. offset

-5

10

-6

10

MCRB

-7

10

0

10

20

30

40

Eb /No dB Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

24

[email protected]

Figure 6- 22: Effect of frequency offset on DELCONJ based estimates with Roll-off = 0.75, and L = 100.

6.12

Impact of Fading Channels on Feedforward Symbol Timing synchronization

A Flat fading channel model is introduced here to characterize the performance of symbol timing (6-25) and (6-35). Due to reflections, scattering and diffraction of the transmitted signal in a mobile channel, multiple versions of the transmitted signal arrive at the receiver with different amplitudes and phases. This time dispersion of the transmitted signal results in either flat or frequency selective fading. In this section, we consider the impact of flat fading only on symbol timing recovery.

6.12.1 Flat Fading Channel Model Flat fading channel has a constant gain and linear phase response over a bandwidth greater than that of the transmitted signal [16-22]. In a flat fading channel, the spectral characteristics of the transmitted signal are preserved, but the received signal strength changes in magnitude with time. By considering that the mobile receiver is moving at a velocity of v and the incoming signal arriving at an angle ϕ with respect to the motion of the mobile unit, the received signal can be represented as S r (t ) = Re{ Ae j ( 2πf c t − βx cos ϕ ) }

(6-57)

where β is the wave number ( = 2π / λ , where λ is the wavelength), x is the displacement, A is an amplitude constant and f c is the carrier frequency of the transmitter. Equation (6-57) is simplified as S r (t ) = Re{ Ae

v j 2π ( f c − cos ϕ ) t

λ

} = Re{ Ae j 2π ( f c − f d ) t }

(6-58)

where f d is the Doppler frequency shift due to the mobile receiver’s relative movement, fd =

v cos ϕ

λ

⎛v⎞ = ⎜ ⎟ f c cos ϕ ⎝c⎠

(6-59)

where c is the velocity of light. Since the multipath component is composed of many field reflections in different directions, the received signal can be modeled by the following equation assuming k-reflected waves arriving at the receiver. k

S r (t ) = Re{∑ Ai e

v j ( 2πf c + cos ϕ i +φi ) t

λ

}

(6-60)

i =1

where ϕ i is the direction of the ith wave arrival, Ai is a complex random variable with zero mean and unity variance and φi is a random phase angle uniformly distributed between 0 to 2π . Equation (6-60) can be decomposed into in-phase and quadrature in-phase signals given by,

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

25

[email protected]

k

S I (t ) = ∑ Ai cos( 2πΨi + φi )

(6-61)

i =1

and k

S Q (t ) = ∑ Ai sin( 2πΨi + φi )

(6-62)

i =1

where Ψi = βv cos(ϕ i ) / 2π . Both SQ (t ) and S I (t ) can be used to simulate Rayleigh fading channel. To speed up simulations and use less computational resources, the Rayleigh fading channel is implemented at baseband using MATLAB™ tools as shown in Figure 6-23.

H( f )

Random generator Q

H( f )

rI (kT )

Complex multiplication

Random generator I

fd

I (kT )

rQ (kT )

Q(kT )

Figure 6- 23: Baseband Rayleigh fading channel model for evaluating synchronization algorithms. Here, two independent complex Gaussian white noise generators are passed through two Doppler filters. The Doppler filters have a frequency response given by 2 ⎧ ⎪ A / πf d 1 − ⎛⎜ f ⎞⎟ ⎜f ⎟ H(f ) = ⎨ ⎝ d⎠ ⎪ 0 ⎩

for for

f ≤ fd f ≥ fd

(6-63)

where f d is the Doppler frequency shift induced by the relative velocity and angle of arrival. Figure 624 shows the magnitude response of (6-63) for fading channel using 900 MHz carrier, and v=80 km/hr ( f d = 66 Hz). Figure 6-25 shows waveforms of both channel envelope and induced phase at typical vehicular speeds of 80 km/hr at 900 MHz. The model in Figure 6-23 will be used throughout this work to evaluate the performance of synchronization algorithms.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

26

[email protected]

00 -10

H

(f )

Magnitude ( dB)

-20 -30 -40 -50 -60 -70 -80 100

150

200

250

Frequency (Hz)

Figure 6- 24: Magnitude response of the Doppler filter with fd=66 Hz. 10

4 rI (kT ) + jrQ (kT )

0

2

-5

1

-10

0

-15

-1

-20

-2

-25

-3

-30

0

5000

arg{rI (kT ) + jrQ (kT )}

3

rad.

dB

5

-4

10000

0

5000

10000

Sample

Sample

Figure 6- 25: Envelope and phase variation of fading channel model with v=80 km/hr and fc=900 MHz.

One way to characterize fading is by its level crossing rate and the average duration of fade. It was found that these parameters have most of the impact on synchronization. Level crossing rate is generally defined as the expected rate at which the received RF signal envelope given in (6-61) and (6-62) crosses a specified level γ s in the positive direction [6], and given by ∞

ℵγ s = ∫ R ′p(γ s , γ ′)dγ ′

(6-64)

0

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

27

[email protected]

where γ ′ is the time derivative of γ , p (γ s , γ ′) is a joint PDF of γ and γ ′ for γ = γ s . It can be shown that the level-crossing rate ℵγ s for a signal received by a vertical monopole antenna is given by ⎛ γ ⎞ ⎛ γ2 ℵγ s = 2π f d ⎜ s ⎟ exp⎜ − s 2 ⎜ 2σ ⎜ 2σ ⎟ µ µ ⎠ ⎝ ⎝

⎞ ⎟ ⎟ ⎠

(6-65)

where σ µ is the average power of the received faded signal, f d is the Doppler frequency and γ s and γ ′ are statistically independent parameters with a joint probability distribution function (PDF) given by p (γ s , γ ′) = p (γ s ) p (γ ′)

(6-66)

The PDF of γ ′ is Gaussian and given by ⎛ ⎛ γ′ p (γ ′) = exp⎜ − ⎜ ⎜ ⎜ 2πf d σ µ 2π π f d σ µ ⎝ ⎝ 1

⎞ ⎟ ⎟ ⎠

2

⎞ ⎟ ⎟ ⎠

(6-67)

Defining a threshold ρ from (6-67), given by

ρ=

γs πσ µ

(6-68)

By substituting equation (6-68) into (6-65), the level crossing rate (LCR) is simplified to ℵρ = 2π f d ρe − ρ

2

(6-69)

The LCR in (6-69) is a function of Doppler frequency and the threshold developed in (6-68), both of which were found to impact the symbol estimation process in (6-25). For Rician fading channels, the lower LCR [6] is given by, ℵ ρ = 2π ( K R + 1) f d ρe −[ ρ

2

( K R +1) + K R ]

I 0 ( 2 K R ρ 2( K R + 1) )

(6-70)

where the Rician factor ( K R ). Figure 6-26 shows a comparison of LCR for Rayleigh fading channel having a Doppler frequency of 66 Hz and a Rician channels with Rician factors K R = –12, –10, and –6 dB.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

28

[email protected]

80 70 60 Rayleigh L C R

Fd=66 Hz

50 40

K R = 6dB

30

K R = 10dB

20 10

K R = 12dB

0 -10 -50

-40

-30 -20 -10 Received signal level relative to rms (dB)

0

10

Figure 6- 26: A comparison between LCR for Rayleigh and Rician channels. The value of (6-70) in conjunction with a pre-determined threshold level given by (6-68) are used in a series of proposed algorithms to improve symbol timing estimates in (6-25). Another parameter that impacts the estimates in (6-50) is the average fade duration (AFD) as shown in. AFD is defined as the average time spent while the signal power level being less than the threshold ρ given in (6-68) and is given by, ⎛ 1 fade duration = ⎜ ⎜ ℵγ ⎝ s

⎞ ⎟ p (γ ≤ γ s ) ⎟ ⎠

(6-71)

where . indicates timing averaging operation, and γs

p(γ ≤ γ s ) = ∫ p(γ )dγ

(6-72)

0

where the PDF in (6-72) can be defined as ⎛ γ2 P (γ ≤ γ s ) = 1 − exp⎜ − s 2 ⎜ 2σ µ ⎝

⎞ ⎟ ⎟ ⎠

(6-73)

Substituting (6-73) into (6-71), the average duration that the received signal spends below a given threshold is defined as

τR =

1 ⎛ γ ⎞ 2π ⎜ s ⎟ f d ⎜ 2σ ⎟ µ ⎠ ⎝

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

⎡ ⎛ γ2 ⎞ ⎤ ⎢exp⎜⎜ s 2 ⎟⎟ − 1⎥ ⎣⎢ ⎝ 2σ µ ⎠ ⎦⎥

(6-74)

29

[email protected]

By inspection of (6-74), it is clear that the AFD ( τ R ) is a function of LCR and Doppler frequency and can further be simplified to,

eρ − 1 τR = 2π f d ρ 2

(6-75)

Figure 6-27 presents the level crossing rate as a function of Doppler frequency for received signal levels of –30 dB to 0 dB below the r.m.s. value of a carrier of 900 MHz with Doppler frequencies of 33, 66, 100, and 277 Hz. For instance, using a threshold of 10 dB below r.m.s level, a 900 MHz carrier having 100 Hz Doppler will have an average of 80 crossings/second that the signal exceeds that level. Figure 628 illustrates AFD as a function of the threshold level and Doppler frequency. Using computer simulations (see Figure 6-23), Figure 6-29 shows both theoretical LCR and AFD results based on both versus the simulated values using large sequence of fading samples. The figures illustrate the validity in the model, since the AFD and LCR theoretical and simulated values in Figure 6-28 have a high degree of agreement. Next we present the impact of both LCR and AFD on symbol timing estimate, which will produce some ideas on how to combat this sever impact.

Crossing rate (/sec)

10

10

10

3

fd=33Hz fd =66Hz fd =100Hz fd =277Hz

2

1

0

10 -30

-25

-20 -15 -10 -5 Avg. received signal envelope (dB)

0

Figure 6- 27: LCR as a function of Doppler frequency and the received RF signal levels. received RF signal levels with 900 MHz and 120km/hr.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

30

[email protected]

10

-1

Avg. fade duration (sec)

fd =33Hz fd =66Hz

10

10

10

10

fd =100Hz fd =277Hz

-2

-3

-4

-5

-30

-25

-20 -15 -10 -5 Avg. received signal envelope (dB)

0

Figure 6- 28: AFD as a function of Doppler frequency and received RF signal levels.

Rayleigh Fading Envelope Level Crossing Rate

3

10 Calculated Simulated

Calculated Simulated

2

-2

10

10 AFD (seconds)

LCR (crossings per second)

Rayleigh Fading Envelope Average Fade Duration

-1

10

1

-3

10

10

0

10 -30

-4

-25

-20

-15

-10 ρ (dB)

-5

0

5

10

10

-30

-25

-20

-15

-10

-5

0

5

ρ (dB)

Figure 6- 29: Theoretical versus simulated LCR and AFD for a Doppler frequency of 100 Hz.

6.12.2 Impact of LCR and AFD on symbol timing estimates

Using the computer model developed in Chapter 2, Figure 6-29 shows fading envelope for two Doppler frequencies of 100 Hz and 200 Hz for a carrier frequency of 900 MHz. Notice how both LCR and ADF are proportional to the Doppler frequency. It is observed from the figure that both how often LCR is and length of AFD highly impact the Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

31

[email protected]

10

accuracy and final variance of the estimates. (???) With slow fading channel (small Doppler frequency), the AFD durations are large and can span a relatively long interval compared to the estimator block length, which causes a sever impact on the estimates. With fast channels, there are more rapid LCR; however, the AFD for a specified threshold are not very long when compared with the estimator block length. Table 6-1 and Table 6-2 list AFD and LCR statistics of the typical 900/2400 MHz PCS wireless system used throughout this chapter. Based on these values, one can assess the impact of LCR and AFD parameters on symbol timing recovery. A mobile receiver operating with 900 MHz carrier and velocity of 80 km/hr will experience an average LCR (ℵρ=-10dB) of 52.8 crossings/sec and an average duration of fade (τR ) of 2 msec. Thus at a velocity of 80 km/hr and data rate of 10,000 symbols/s, the duration of fade lasts 20 symbols on the average, while the fade crossings occur on an average of every 189 symbols. Thus, on average, after every 3.8 estimation intervals the signal level will be 10 dB below its r.m.s. value for about 20 symbols. Using L=50 symbols in (6-25), this indicates that one out of every four estimates of εˆ is likely to suffer due to fading at 80 km/hr. 10 5 0

Relative power dB

-5 -10 -15 -20 -25 fd=100Hz

-30

fd =200 Hz

-35 -40

0

1000

2000

3000

4000

5000

6000

7000

8000

Samples

Figure 6- 30: LCR and AFD for 900 MHz carrier and Doppler of 100 and 200 Hz. Further, we observe that at a velocity of 120 km/hr and data rate of 10,000 symbols/sec, the duration of fade lasts 13 symbols on average, while the fade crossings occur at an average rate of every 126 symbols for 900 MHz systems. This means that, on average, after every 2.53 observation intervals the signal level will be 10 dB below its r.m.s. value for about 13 symbols. This indicates that one out of every three estimates is likely to suffer due to fading at 120 km/hr.

Mobile

Doppler

AFD @

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

LCR @

Doppler

AFD @

LCR @

41

[email protected]

velocity

@

900 MHz

900 MHz

@ 2400 2400 MHz 2400 MHz MHz

900 MHz 120 km/hr

100 Hz

1.3 msec

79.2/sec

267 Hz

0.5 msec

211/sec

80 km/hr

66 Hz

2.0 msec

52.8/sec

178 Hz

0.75 msec

140/sec

40 km/hr

33 Hz

4.0 msec

26.4/sec

89 Hz

1.5 msec

70/sec

Table 6- 1: Fade statistics for 900/2400 MHz and ρ = −10dB (in sec.).

velocity

AFD @

Km/hr

900 MHz

LCR @ AFD @

LCR @

2400 MHz

L=50

L=50

120

13.2 symbols

2.53L

126.14 symbols

5 symbols

0.95L

211.4 symbols

80

19.9 symbols

3.78L

189.23symbols

7.5 symbols

1.41L

140 symbols

40

40symbols 7.569 L

378 symbols

15 symbols

2.83L

70 symbols

Table 6- 2: Fade statistics in term of symbol duration for observation of L=50 symbols.

6.13

Frequency Selective Mobile Channel Model

By combining an additional path with a delay in the Rayleigh propagation model discussed earlier as shown in Figure 6-31, causes the direct path to sum out of phase at some frequencies, which will make the channel a frequency selective channel. The frequency selectivity is observed by the fact that when monitoring the attenuation of the signal through the channel, some frequency offsets of the signal spectrum will be attenuatedmore than other offsets. This usually happens when the spread delay of the indirect path is longer than the transmitted symbol time. The mathematical representation of a frequency selective channel is given by its impulse response, h(t ) = a d exp( jφ1 )δ (t ) + a s exp( jφ2 )δ (t − τ )

(6-76)

where a d and a s are the magnitude of the direct and delayed paths, and τ is the spread delay associated with the indirect oath which causes the channel to be selective. The Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

42

[email protected]

channel time response in (6-76) can be represented using its baseband form in frequency domain by

H ( f ) = 1 − be − j 2π ( f )τ

(6-77)

The channel in (6-77) will have a minimum and maximum gain and hence produce frequency selectivity. The maximum channel gain is when minimum channel gain occurs when

e − j 2π ( f )τ = 1 , and a

e − j 2π ( f )τ = −1 . This will take

place every f =

1

τ

1− b ⎞ Hz. The fade depth is given by 20 log10 ⎛⎜ ⎟. ⎝1+ b ⎠

As an example, let us assume that b=0.9, and τ =1 microsecond, the channel frequency response in Equation (6-77) is then given by H ( f ) = 1 − 0.9e − j 2π ( f )τ

(6-78)

This channel will vary from +5.5dB and –20dB and have a notch every 1Mhz.

AWGN

αs From Transmitter

++

++

To Receiver

αd τ Delay

Figure 6- 31: Two ray frequency selective channel model.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

43

[email protected]

These delayed paths in (6-78) may occur due to scattering from nearby buildings, trees, or hilly terrain. The r.m.s. delay spread values ( τ ) are of the order of 1 to 3 microseconds for typical urban 900 MHz PCS systems, 0.1 to 1 microseconds for suburban terrain, and 6 to 7 microseconds for rural mountains. For urban areas, where most of the communication activity occurs, a delay profile of 10 microseconds is a common value for a worst case performance evaluation [32]. This value will be used to represent the worst case of distortion caused by fading used in our simulations. Table 6-3 lists the standard spread delay profile used to test GSM systems, and Table 6-4 lists common worst case spread delay profiles for other typical North American PCS/Cellular systems.

Path

Delay (τ) in

Power ratio

number

µ-seconds

(dB)

1

0

-10

2

0.1

-8

3

0.3

-6

4

0.5

-4

5

0.7

0

6

1.0

0

7

1.3

-4

8

15

-8

9

15.2

-9

10

15.7

-10

11

17.2

-12

12

20

-14

Table 6- 3: GSM delay profile used for experimentation. System type Micro-cellular

Spread delay (τ) in µseconds

Transmitter coverage range 200 m

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

0.1 44

[email protected]

Cellular

10 km

10

Land Mobile Radio

100 km

15

Table 6- 4: North American delay profile used for experimentation.

Assuming a BPSK transmitted signal through this channel with data rate of of Rb = 50kbps and assuming the spread delay of τ = 50 µs , (the coherent bandwidth is obtained using the definition of [32] and is given by, Bcoherence =

1 5τ rms

= 4khz

(6-79)

Since the bandwidth of the BPSK signal is Bcsignal = 100 khz , which is much wider than the coherence bandwidth in (6-79), the channel is considered to be a frequency selective channel (not flat), since Bcoherence 20 dB. N0

The same experiments were repeated using 16000 16-QAM symbols. Figure 7-22 shows the mean estimates variance against a sweep of intentional phase errors of − 60 o ≤ θ ≤ +60 o . The estimators are unbiased and have a capture range of − 45o ≤ θˆ ≤ +45o .

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

27

[email protected]

Estimated phase offset deg.

-10 L=100 Roll-Off=0.75 QPSK θ=10 deg. l=M =4

-10.5

-11

-11.5 0

10

20

30 Eb/No (dB)

40

50

Figure 7- 20: Mean Phase Estimates for the Estimators in (7-38) and (7-41) for QPSK Modulation. -2

10

L=100 Roll-Off=0.75 QPSK θ =10 deg. l=M =4

-3

variance of phase error

10

-4

10

-5

10

-6

10

-7

10

0

10

20

30 Eb/No (dB)

Figure 7- 21: Variance of Phase Error Estimates Against

40

50

Es for the Estimators in (7-38) and (7-41) for N0

QPSK Modulation

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

28

[email protected]

60 L=100 Roll-off=0.75 16QAM M=4 Eb/No=10dB

Mean of estimates

40

20

0

-20

-40

-60 -60

-40

-20 0 20 Introduced ph. Error deg.

40

60

Figure 7- 22: Capture Range of Phase Estimators in (7-38) and (7-41) for 16 QAM. Both estimators were then invoked to estimate an intentional phase error of 10o. Figure 7-23 shows the mean estimates of (7-50) and (7-53) using M= l =4. Both algorithms have similar performance for 16E QAM and the estimates converge for s ≥ 11dB . Figure 7-24 plots the estimated error variance against N0 Es for the same setup, where both algorithms have a final error variance of σ θ2 = 1.5 x10 −3 [rad2]. N0 Figure 23 illustrates 16QAM phase estimates with a phase error 10-degrees. Figure 24 shows the E variance of estimates of the same error as a function of s . N0

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

29

[email protected]

Mean of Phase Est. error deg.

-3 -4 L=100 Roll-Off=0.75 16QAM θ=10 deg. l=M =4

-5 -6 -7 -8 -9 -10 -11 0

5

10

15

20 25 Eb/No (dB)

30

35

40

Figure 7- 23: Mean Estimates Using (7-50) and (7-53) for 16-QAM Modulation -1

Variance of Est. error

10

L=100 Roll-Off=0.75 16QAM θ=10 deg. l=M =4

-2

10

-3

10

0

5

10

15

20 25 Eb/No (dB)

30

35

40

Figure 7- 24: Variance of Estimates Using (7-50) and (7-53) for 16-QAM Modulation To study the impact of the post-processing smoother in Figure 7-17, the simulation experiments in Figure 7-20 were repeated with the modified estimator shown in Figure 7-17. Figures 7-25 and 7-26 present Monte-Carlo simulations of the phase estimates obtained by the modified algorithm in (7-50) using the convergence factor µ =1 and µ =0.997. Notice in Figure 7-26 that the estimator variance using the post processing smoother is greatly improved over that of the results with µ =1.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

30

[email protected]

deg.

θ=10 deg L=50 Roll-Off=0.35 µ=1 QPSK

(dB)

Figure 7- 25: Phase Estimates Using the Non-modified M-power Phase Estimator Algorithm in (7-38).

estimated carrier phase offset deg.

5 θ=10 deg L=50 Roll-Off=0.35 µ=0.997 QPSK

0

-5

-10

-15

-20

-25 0

5

10

15 Es/No (dB)

20

25

30

Figure 7- 26: Phase Estimates Using the modified M-power Phase Estimator Algorithm in (7-39).

7.7 Extension of M-Power NDA Phase Carrier Estimator to QAM

This section generalizes the M-power nonlinear operation for M-ary modulations. Noise enhancement due to the non-linear operation is explained and derived. Furthermore, several schemes are detailed that attempt to reduce the noise enhancement problem that takes place after using the M-power multiplication process. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

31

[email protected]

7.7.1

Removing MQAM PSK Modulations

With MPSK signals, the M-powering results in complete removal of data modulation, thus yielding accurate estimates of phase and frequency offset. For example, with BPSK symbols given by c k = a k + jbk , where {a k , bk } ∈ {+1, -1}, the symbol modulations are c k = e j ( m +1)π e jθ , where m=0,1. The data dependency can be removed by powering the samples to M=2, which results in [c k ]2 = e j ( m +1) 2π e j 2θ or [ck ]2 = e j 2θ . Note that phase shifts due to data modulation has been removed 1 2 completely, thus the phase estimates are given by θˆ = arg{[z ( k )] } , where z (k ) is the modulated 2 j

π

baseband signal. Similarly for QPSK signals with symbols c k = e e 4

j(m)

π 2

e jθ , where m=0,1,2,3,

powering the signal samples by M=4 yields [c k ] = e j 4θ , and the phase estimates are obtained as 1 θˆ = arg{[z ( k )]4 } . Likewise, for 8-PSK modulations (i.e., GSM EDGE type modulations), the EDGE 4 modulations are completely removed by powering the EDGE signal samples by M=8, yielding the phase 1 8 estimates given by θˆ = arg{[z ( k )] } [34]. 8 4

With QAM modulated signal, the process is a little different. For instance, with 16-QAM modulated signals given by z ( kT ) = c k e j ( 2π∆fkT +θ ) + n( kT )

where the transmitted symbols are given by ck = a k + jbk , with {a k , bk } ∈ {±1,±3} and n (kT ) is a

5 ⎛T ⎞ T ⎜⎜ ⎟⎟ , where is the ratio of symbol E s / N o ⎝ Ts ⎠ Ts rate to sample rate, the powering to M=4, can not remove completely the modulations as revealed by 4 examining the nonlinearity output [z (kT )] , where with QAM symbols, this is given by,

complex AWGN; the variance of n(kT) is given by σ n2 =

( ) ( ) ( )

⎧ 2 4 e j 4 (2π∆fkT +θ ) ⎪ 4 [z(k )]4 = ⎪⎨ 10 e j 4 (2π∆fkT +θ +ϕ ) ⎪ 18 4 e j 4 (2π∆fkT +θ ) ⎪⎩

c k ∈ {± 1} others

(7-57)

c k ∈ {± 3}

⎛ 1⎞ The term ϕ = tan −1 ⎜ ± ⎟ is a residual phase error with a polarity dependent on the symbols transmitted. ⎝ 3⎠ Notice that (7-57) represents a sine wave modulated both in amplitude and phase. As the estimates of 4 ∆f and θ are hidden in the arg{} of [z (kT )] , it is clear that the presence of the phase modulation term ⎛ 1⎞ tan −1 ⎜ ± ⎟ complicates the carrier recovery in 16-QAM system. This distortion results mostly from the ⎝ 3⎠

phase-modulated term of

( 10 ) e

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

4

j 4 ( 2π∆fkT +θ +ϕ )

because the other two terms of [z (kT )] in (7-57) contain 4

32

[email protected]

only amplitude modulations with a peak-to-average energy of 9.0 dB This does not introduce any phase distortion to carrier recovery because amplitude modulations are transparent to the M-power phase or frequency estimators when implemented using the arctangent function. As a result of the inability to remove modulations completely, the use of M=4 nonlinearity for 16-QAM is not optimal. One way to alleviate the distortion in (7-57) is to use separate nonlinearities for amplitude (l-th powering) and Mth powering of the angle, which is equal to 4. Thus the MF samples are l

given by F ( z ( kT ) ) = z ( kT ) e

4 arg{ z ( kT )}

(7-58)

The relationship in (7-58) is the same as the algorithm developed in (7-21) and (7-53). The magnitude

( )

nonlinearity l is chosen such that it will emphasize the constellation point 18 e j 4 (2π∆fkT +θ ) , which has the highest energy in the constellation and has no distortion. A modified nonlinearity is employed so as to de-emphasize the other two terms in (7-57) to make the output close to a pure sine wave. This can be used to obtain reliable estimates of carrier phase and carrier frequency offsets. The nonlinearity is defined by l

0 , C k ∈ {± 1} ⎧ ⎪ F ( z ( kT ) ) ≅ ⎨ 0 , others ⎪ 18 l e j 4 (2π∆fkT +θ ) , C ∈ {± 3} k ⎩

(7-59)

( )

However, the performance of the system of (7-59) may be inadequate for high data rate 16-QAM systems operating with low SNR, as it suffers from two drawbacks: •

For short 16-QAM TDMA bursts it is probable that only a few symbols of the type c k ∈ {± 3} are transmitted in a single burst, which causes F ( z ( kT ) ) to be dominated by AWGN noise and the estimates in (7-21) and (7-53) to fail.



7.7.2

The use of high order nonlinearity l in (7-59) enhances the background noise, which is generated by the cross product terms of signal and noise in the powering operation [1]. Therefore, it would be beneficial to use an alternative scheme to remove QAM modulations without excessively enhancing the background noise. Following in this path, a new scheme is proposed in [35] for improving carrier recovery of QAM modulated signals by creating a special constellation that has carrier recovery error variance of the same order as that of QPSK systems. The price paid is only a slight increase in the constellation average energy and in the peak to average energy ratio (about 2 dB increase). However the scheme is not practically feasible, considering the huge infrastructure investment that has already been deployed using the conventional QAM constellation.

Simulated M-Power 16QAM Carrier Phase Estimation Performance

Performance comparisons of the frequency offset estimators with different order of magnitude nonlinearity in (7-21) was achieved using computer simulations. Experiments used the model developed in Figure 2-16 and a strip of 16000 random 16-QAM symbols running at 10 ksps and sampled at 40 ksps (N=4) with an observation length of L=100 symbols and Roll-off factor of 0.75 and a frequency offset Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

33

[email protected]

of +500Hz. The estimators in (7-21) and (7-22) were invoked sequentially to estimate phase and frequency offsets using M=4, l =4, l =8, and l =16. Figure 7-27 presents the frequency offset error variance of the carrier frequency offset estimator in (7-21) for several values of l . The nonlinearity order of l =16 provides the best performance as expected by (7-21). The experiment was repeated for different Roll-off values corresponding to the access bandwidth range of 20%-90%. Figure 7-28 shows E the performance of the three nonlinearity orders against Roll-off factor with s of 40dB. Again, the N0 nonlinearity with order of l =16 is the optimum one for the Roll-off access bandwidth range of 40% to 90%. The performance of l =8 and l =16 are similar for Roll-off range of 20% to 40%. -2

Variance of Estimation error

10

L=100 Roll-Off=0.75 16QAM BPF 5-15 Khz M=4 µ=0.3 ∆f=500 Hz -3

10

l=4

l =8

-4

10

l = 16

0

10

20

30 Eb/No (dB)

40

50

Figure 7- 27: Performance of 16 QAM Frequency Offset Estimator as a function of

Es for Three N0

Different Nonlinearity Orders with Roll-off of 0.75.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

34

[email protected]

-2

10

Variance of Freq. est. error

l=4

-3

10

L=100 16QAM M=4 µ=0.997 ∆f=500 Hz Eb/No=40dB l =8

-4

10

l = 16

0.2

0.3

0.4

0.5 0.6 Roll-off value

0.7

0.8

0.9

Figure 7- 28: Performance of 16-QAM Frequency Offset Estimator as a Function of Roll-off for Three E Different Nonlinearity Orders with s = 40 dB. N0 The simulation experiments were repeated with the carrier phase estimator in (7-53) as a function of l . Figure 7-29 presents the phase error variance obtained for several values of l in (7-53). The optimal E E nonlinearity seems to be dependent on s . For moderate values of s , the nonlinearity of l =4 is N0 N0 E optimal, while for large s the optimal nonlinearity has order of l =16. As shown by Figure 7-30, the N0 E inferences are consistent throughout all Roll-off values for moderate s . N0

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

35

[email protected]

-1

Variance of Phs. Est. error

10

L=100 Roll-Off=0.75 16QAM M=4 θ=10 deg. -2

10

l=4

-3

10

l=8

l = 16 -4

10

0

5

10

15

20 25 Eb/No (dB)

30

35

Figure 7- 29: Performance of 16-QAM Phase Estimator as a Function of

40

Es for Three Different N0

Nonlinearity Orders with Roll-off of 0.75. -1

10

Variance of phs. est. error

L=100 16QAM M=4 θ=10 deg. Eb/No=10 dB

l=4

-2

10

l=8

l = 16

-3

10

0.1

0.2

0.3

0.4

0.5 0.6 Roll-off value

0.7

0.8

0.9

Figure 7- 30: Performance of 16-QAM Phase Estimator as a Function of Roll-off for Three Different E Nonlinearity Orders with s = 10 dB. N0

Es using Roll-off values in the access bandwidth range of N0 20% to 90%. Figure 7-31 confirms that l =16 is the optimal nonlinearity for signals with access bandwidth larger than 50%. The experiments were repeated for high

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

36

[email protected]

l=4

Variance of phs. est. error

-3

10

l=8 l = 16

-4

10

0.2

L=100 16QAM M=4 θ=10 deg. Eb/No=40 dB

0.3

0.4

0.5 0.6 Roll-off value

0.7

0.8

0.9

Figure 7- 31: Performance of 16-QAM Phase Estimator as a Function of Roll-off for Three Different E Nonlinearity Orders with s = 40 dB. N0 Next we propose a new method by which to remove the 16QAM modulations using sub-constellation partitioning. The idea is to use outer and inner square sub-constellation.

7.8 Proposed Scheme for NDA Carrier Recovery for 16-QAM Modulations

Based on the analyses and inferences of the previous sections, it was clear that a scheme can be devised to improve the16-QAM phase and frequency estimates by manipulating the constellation, such that only symbols that belong to certain sections of the constellation are allowed to be processed by the frequency or phase estimator. Figure 7-32 shows a partition of the 16-QAM constellation plane. Notice that there are two sub-QPSK constellations with a total of eight signal points. The first sub-QPSK consists of symbols corresponding to ck = {( +1,+1), ( −1,+1), ( −1,−1), ( +1,−1)} with normalized amplitude of c k = 2 .The

second

sub-QPSK

constellation

consists

of

the

symbols

of

ck = {( +3,+3), ( −3,+3), ( −3,−3), ( +3,−3)} with higher normalized amplitude of c k = 18 . The remaining symbols within the dashed region are devoid of any quadrature symmetry, and therefore represent the symbols that generate noise for the M=4 nonlinearity as shown in Figure 7-33. The idea for the proposed phase/frequency estimator algorithm is to distinguish between points from the sub-constellation and those from the excluded region as shown in Figure 7-34. If the received symbols lie within the subconstellation labeled Sub-QPSK 1, the M-power estimator is activated for regular QPSK using a low order magnitude nonlinearity with M=4 and l =1, otherwise consider the current phase/frequency estimates as the last estimate, or an interpolated estimate between the last estimate and n-estimates from previous intervals. By this approach, the noise enhancement problem is circumvented without any additional complexity. Note that the symbols corresponding to ck = {( +3,+3), ( −3,+3), ( −3,−3), ( +3,−3)} Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

37

[email protected]

are easier to distinguish against other constellation points due to their higher energy. The schematic is shown in Figure 7-34. Here, the symbol discriminator (or a threshold detector) inhibits the estimator each time the signal power exceeds c k = 18 . The new carrier phase estimate is given by ⎧1 ⎧ L −1 j 4 arg ( z k ) ⎫ arg ⎨∑ z k e ⎬ , z ≥ 18 ⎪ 4 ⎩0 ⎭ k ⎪ ˆ θk = ⎨ ⎪ θˆk −1 , z k ≤ 18 ⎪ ⎩

(7-59)

4

Sub-QPSK 1

ck = 18

3

2

Sub-QPSK 2 1

Q= Im{z k }

ck = 2 0

-1

-2

Excluded region -3

-4 -4

-3

-2

-1

0

1

2

3

4

I = Re{z k } Figure 7- 32: 16-QAM Constellations Diagram Illustrating the Excluded Region for the Proposed Carrier Recovery Algorithm Figure 7- 33: QAM Signal Through the 4th Power. A number of issues are made about the proposed scheme: • • •

Fading may degrade the scheme by distorting the symbol energy level relative to the fixed threshold. For short bursts, it is probable that only a few QAM symbols may be sent that lie in the second sub-QPSK constellation of c k = {(3,3), (−3,3), (−3,−3), (3,−3)} . The scheme has good performance for TDMA systems that utilize preamble (DD/DA), since the preamble can be made to include the QAM symbols ck = {( +3,+3), ( −3,+3), ( −3,−3), ( +3,−3)}. This can be sent periodically to assure presence of sub-QPSK symbols for each burst.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

38

[email protected]

Wait for next symbol

No L=?

Full Output L- symbols to the estimator

Received Signal

z(kT )

Matched filter

L- symbol Buffer

I 2 + Q2

≥ 18

Normalize

Wait for next symbol,

No

θˆk = θˆk −1

For phase estimation remove this block

4 arg(zk )

Yes

z −1

x

-

+

Rectangular to Polar

Polar to Rectangular

zk

⎧ Rs ⎪⎪ scale = ⎨ 2πM1 ⎪ ⎪⎩ M

for

(zk )4

z −1

∆fˆ

for θˆ

∆fˆ ,θˆ

scale

X

y

Smoothing filter

x

Smoothing filter

arg{y, x}

Figure 7- 34: Schematic of the Proposed Algorithm. 7.9 Effects of Frequency Residual on Phase Estimation

Optimal performance of the carrier phase recovery using the algorithms discussed above are optimal only for AWGN channels The phase estimator input samples have no frequency offsets associated with it. However, in practical situations, there is always non-zero frequency residual error caused by the inaccuracies of the frequency-offset algorithm used [36, 37]. As a result of such frequency-offsets, the phase estimate is no longer a constant within one observation interval, but is biased by a phase error that is a linear function of the index of symbols used during the observation interval. This bias is worst at the edge of the estimation interval (k=L) and is equal to θ (k ) = 2π∆fTL degrees. For a given frequency offset, there is an optimal phase estimation interval ( Loptimal ) that results in minimum phase error variance given by [36] ⎡ 1.165 ⎤ Loptimal = int ⎢ ⎥ ⎣ Mπ∆fT ⎦

(7-60)

Table 7-2 presents the results of one experiment conducted by [37] using QPSK modulations using the M-power NDA algorithm in (7-50). The operating SNR is 10 dB and the frequency residuals were ∆fT = 1x10 −3 and ∆fT = 2 x10 −3 . Notice that the listed simulation values of Loptimal highly agree with the computed (7-60).

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

39

[email protected]

∆fT

2

2

var{ θˆ − θ }

L (arbitrary)

var{ θˆ − θ }

∆fT = 1x10 −3 100

3o

200

5o

∆fT = 2 x10 −3 50

6o

100

10o

Loptimal

Table 7- 2: Optimum Observation Length for NDA in the Presence of Frequency Residual Error [37]. From Table 7-2 and [36], one can infer that phase recovery in the presence of frequency offset can not be improved by simply increasing L infinitely, as its is conventionally believed according to the MCRB (7-13) for AWGN channels. For a given frequency offset, there is a singular observation length for FF systems that yield optimal phase recovery performance. Mengali [37] derived a phase estimate error variance that coincides with the modified Cramer-Rao bound and that include the effects of frequency offset residual errors is given by, var( θˆ − θ ) =

1 2 Lρ (∆fT ) E s / N o

(7-61)

where

ρ ( ∆fT ) =

sin(π∆fTL) L sin(π∆fT )

(7-62)

For the case where no frequency offsets are present, ρ ( ∆fT ) =1, and (7-61) becomes the MCRB in (713). By maximizing (7-62) with respect to L the optimal window ( Loptimal ) can be derived as ⎧ sin(π∆fTL) ⎫ Loptimal = max ⎨ ⎬ L ⎩ L sin(π∆fT ) ⎭

(7-63)

Figure 7-36 shows plots (7-63) as a function of L for several values of ∆fT . The figure shows that for a normalized offset of ∆fT = 1x10 −3 , Loptimal is of the order of 100 symbols This agrees closely with the computed value obtained using (7-60).

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

40

[email protected]

Loptimal = max (.) L

∆fT = 1x10−3

∆fT = 3x10

∆fT = 4 x10−3 0

20

40

60

∆fT = 2 x10−3

−3

80

100

120

140

160

180

200

L − symbols

Figure 7- 35: Optimal Observation Length for Phase Estimator, with Normalized Frequency Offsets Specified at ∆fT =0.0010, ∆fT =0.0020, ∆fT = 0.0030, and ∆fT =0.0040. Figure 7-37 plots (7-61), (7-62) and (7-13) as a function of ∆fT using L=100 symbols and E s / N o =10dB. Using the results in Figure 7-37, it can be determined that with a normalized frequency offset of ∆fT = 5 x10 −3 ( ∆f =50 Hz for 10 ksps), there is a phase error standard deviation of σ θ = 1.6 0 . This error results in a negligible BER degradation of 0.04 dB as per (7-1). However, if the offset increases to ∆fT = 9 x10 −3 (90 Hz for 10 ksps), the phase error standard deviation increases to σ θ = 4 0 , resulting in a large BER degradation that is ten-times (0.3 dB). 0

10

ρ ( ∆fT ) -1

10

L = 100 Symbols Es / N 0 = 10dB

-2

10

σ θ2 (∆fT )

σ θ2 (∆fT = 5 x10 −3 )

-3

10

σ θ2 (∆fT = 0) = MCRB -4

10

0

1

2

3

4

∆fT

5

6

7

9

8

-3

x 10

Figure 7- 36: The Relationship between (7-61), (7-62) and (7-15) as a Function of ∆fT Using L=100 Symbols and E s / N o =10 dB. Clearly, a maximum frequency residual tolerance can be specified for carrier phase recovery algorithms, where this frequency offset is based on the maximum phase error variance σ θ2 as a function of Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

41

[email protected]

frequency error. For example, with the time duration of a one-burst interval of LT seconds, and for any given minimum tolerable phase variation of σ θ , min , the maximum frequency offset is ∆f max =

σ θ ,min . 2πLT

Thus for a 10 Mbps receiver with L=100 symbols and σ θ , min = 1.8 degrees, the frequency stability required during the time of L=100 symbols is ∆f max = ±500 Hz.

7.10

Phase Ambiguity in M-Power Carrier Phase Estimation

The NDA algorithms developed in the previous sections were all based on the evaluation of the function arg(.) . As a result, the M-power carrier synchronizer of (7-21) cannot distinguish between an angle θ and an angle of θ + k 2 π M , where k = ±1,±2 ± 3..... . Thus, the estimator has an infinite number of stable operating points. To avoid this ambiguity problem, the phase estimates have to be limited within π . Common solutions can be categorized into three approaches. The first the valid range of θ ≤ M approach is to employ differentially encoding, which results in 3 dB of SNR loss. A second approach is to utilize unique words within a preamble [38]. That was detailed in Chapter 3. A third approach is to post-process the estimates using the feedback network shown in Figure 7-38, which is highly suitable for NDA algorithms at low SNR. The network uses negative feedback in conjunction with an estimate limiter that restricts the phase estimates from slipping into neighboring equilibrium by checking the difference between each two consecutive phase estimate. The modified phase estimate is given by ~

~

~

θ k = θ k −1 + mod{[θˆk − θ k −1 ],

2π } M

(7-64)

The drawback of this network is that it introduces convergence delay and may introduce cycle slipping into an otherwise feedforward synchronization system at low SNR [39].

θˆ

+-

−π M

~

θ

+

π M

z−1

Figure 7- 37: Post-processing Scheme to Resolve Phase Ambiguity and to Perform Smoothing. 7.11

Cycle Slipping Issues

Cycle-slip phenomenon can occur in NDA carrier phase estimates due to thermal noise, fading, interference, or frequency offset. To illustrate the phenomenon, assume that the phase θ to be estimated Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

42

[email protected]

is a constant ∈ [− π M ≤ θ ≤ π M ] . Typically, the NDA FF estimate of θˆ exhibits small fluctuation about the correct phase θ . Occasionally, due to noise effects, the FF phase estimate may momentarily leave the interval [− π M ≤ θ ≤ π M ] before returning to the ambient value of θ . Cycle slipping in feedback synchronizer is analyzed in terms of the mean time between timing slips [39]. For FB synchronizers (PLL and Costas loops), the mean of timing slips E{t slip } is defined as 2 BL E{t slip } =

π 2

exp(

P2 2πσ θ2

)

(7-65)

where BL is the loop bandwidth, σ θ2 is the estimated phase error variance, and P is the phase π , [39]. The estimates in (7-65) are highly discriminator period. For QPSK modulations, P = 2 dependent on the estimated phase error variance of the synchronizer that is also dependent on the channel dynamics and SNR. Channel degradations such as fading result in degradation of the synchronizer estimate, hence causing an increase in the estimate of (7-65). To assess the effects of fading on carrier recovery algorithms, one needs to develop a relationship between the estimated error variance and channel fade dynamic parameters, such as Doppler frequency, average SNR, and Rician factor. Such a relation is critical in optimizing the design of carrier recovery algorithms, and can be used by system designers to predict worst-scenario performance of the receiver. 7.12

Impact of fading on M-power NDA FF phase estimators

An important performance monitor of the carrier recovery algorithms discussed in this chapter is their ability to operate reliably in fading channels. In fading channels, the estimation error variance increases in proportion to the degree of channel fade experienced by the receiver. In addition, the cycle slipping increases per (7-65). In such cases, the MCRB described in (7-12) and (7-13) can no longer be considered valid representation of the minimum variance. One way to assess this deterioration is by deriving the relationship between the phase estimates of the carrier recovery algorithm and the fading channel dynamics represented by Doppler frequency, average SNR, and Rician factor. This relationship is obtained by formulating the joint probability density function of the received faded signal amplitude and estimated phase error. To validate this approach, the phase error variance is first derived for AWGN channels, which must coincide with the MCRB in (7-13). After validating the approach, it is extended to derive the same variance for Rician fading channels. The derived variance is then evaluated for the lower limits of the Rician factor (phase error variance for AWGN), and for the upper limits (variance for Rayleigh fading channels) when the Rician factor is zero. 7.12.1 Estimated Phase Error Variance in AWGN Channels

The phase error variance is used to determine the bit error deterioration contributed by the imperfect operation of carrier phase estimator as indicated in (7-1) through simulations. By using random bursts in conjunction with intentionally introduced phase errors (Figure 2-29), the phase estimate variance can then be calculated using hundreds of bursts at each SNR value. This variance is lower bounded by MCRB (7-13). Analytically, the variance can be determined from the joint probability density function (PDF), amplitude and the phase error of the received signal. The amplitude distribution is Gaussian for Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

43

[email protected]

AWGN, and either Rayleigh or Rician distributed for multipath signals. To develop the phase error density function, define the received signal as r (t ) = s (t ) + n (t )

(7-66)

where s (t ) is the information signal and n(t ) is a complex white Gaussian noise with zero mean and variance σ n2 . For phase modulated signals such as QPSK and BPSK, the transmitted information signal

can be represented by s(t ) = a(t )e j ( 2πf c t +θ (t )) ; where a (t ) is the information to be transmitted, f c is the operating carrier frequency and θ (t ) is the phase due to carrier rotation that has to be estimated for an AWGN channel. Assuming that the signal envelope and carrier phase are constant within the observation interval (L). The quadrature signal of the M-power estimator in Figure 7-17 is given by x=

1 N

N −1

∑ real ( skM ) , y = k =0

1 N

N −1

∑ imag ( s

M k

(7-67)

)

k =0

Without any loss of generality, it can be assumed that θ = 00 and the error in carrier phase estimation is ϕ = θˆ − 0 , or ϕ = θˆ . The envelope of the received signal fed into the phase estimation algorithm (7-50) is given by R = x 2 + y 2 and an estimation error given by ϕ . The joint density function of the amplitude and phase error is given by P ( R, ϕ ) =

⎡ R 2 γR cos ϕ ⎤ e −γ / 2 R exp + ⎢− ⎥ 2 2πσ n2 σn ⎦ ⎣ 2σ n

(7-68)

where σ n2 is the noise variance in (7-66). The average signal-to-noise ratio γ in (7-68) is given by, γ =

a2 / 2

(7-69)

σ n2

Equation (7-68) can be integrated over R to derive the phase error density function. That is ∞ ⎡ R 2 γR cos ϕ ⎤ e −γ / 2 + p (ϕ ) = R dR . exp ⎢− 2 σ n ⎥⎦ 2πσ n2 ∫0 ⎣ 2σ n

(7-70)

By including the observation interval L in (7-50), equation (7-70) is evaluated as p (ϕ )L =

e − Lγ 2π

{ 1+

(

4πLγ cos ϕ exp(γL cos 2 ϕ ).Q − 2πLγ cos ϕ

)}

(7-71)

The subscript L indicates that the calculation is performed using L numbers of observed symbols. Figure 7-39 shows this phase density function evaluated for an AWGN channel using several values of γ of 0, 3, 6, 9 and 15 dB for L=1. The figure shows that the error distribution changes linearly with SNR. Figure 7-40 presents the effect of observation interval on the phase error variance with γ = 15 dB. The Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

44

[email protected]

phase error density function improves (gets narrower) as L and γ increase. With L=1, the standard deviation of the recovered phase error is σ θ = 5.7 o , while for L=100 deviation is lowered to σ θ = 1.72 o . 2.5 γ=15 dB

AWGN

2

12 dB

1.5

p (ϕ , R )

θ

9dB

1 6 dB

3 dB

0.5 0 dB

0 -2

-1.5

-1

-0.5

0

1.5

1

0.5

2

Figure 7- 38: Phase Density Function Evaluated for an AWGN Channel Using Several Values of γ of 0, 3, 6, 9 and 15 dB for L=1. 3

2.5

2

1.5

1 L=1000

L=1

0.5

L=100

L=10

0 -1

-0.8

-0.6

-0.4

0.2 0 -0.2 phase error (rad)

0.4

0.6

0.8

1

Figure 7- 39: Effect of Larger Observation Interval on the Phase Error Variance. The phase error variance at the output of the estimator in (7-50) can then be obtained by numerically integrating (7-75). That is

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

45

[email protected]

π

σ ϕ2 = ∫ ϕ 2 p (ϕ )dϕ

(7-72)

−π

By evaluating (7-72), the estimated error variance of (7-50) for L symbols in AWGN is given by

σ ϕ2 =

1 2γL

(7-73)

This variance coincides with the Cramer-Rao lower bound (7-13). Next we use the same approach to derive the phase error variance for fading channels. 7.12.2 Estimated Phase Error Variance in Fading Channels

For Rayleigh and Rician channels, the phase estimator in (7-50) can no longer deal with a constant phase during a single estimation interval; instead, the amplitude of the output of the nonlinearity will have either Rayleigh or Rician distribution envelope. The received signal in equation (7-66) is modified to include the fading impact. That is

r (t ) = a (t )e j ( 2πfct +θ (t )) + χ (t ) + n(t )

(7-74)

where a(t )e j ( 2πf c t +θ (t )) is the direct path signal, and χ (t ) is the diffused complex signal at the receiver having real and imaginary parts with zero mean and a variance σ x2 . The Rician factor is defined as the ratio of direct received signal power to the diffused total power at the receiver and is given by

K=

a2 / 2

(7-75)

σ x2

Since the phase is still uniformly distributed for Rician and Rayleigh channels, (7-74) can be rewritten as:

r ( t ) = R ( t ) e j ( 2 π f c t + ϑ ( t )) + n ( t )

(7-76)

where ϑ (t ) is the phase to be estimated and distributed in [ −π , π ) , and R(t ) = a 2 (t ) + χ (t ) 2 is the overall baseband envelope of the received signal. This envelope is either Rayleigh or Rician distribution. Since Rayleigh distribution is a special case of Rician distribution, that is distributed according to, p( R) =

⎡ R 2 + a 2 ⎤ ⎛ Ra ⎞ ⎟ exp ⎢− ⎥I0 ⎜ σ x2 2σ x2 ⎦ ⎜⎝ σ x2 ⎟⎠ ⎣ R

(7-77)

where I 0 (.) is the zeroth-order modified Bessel function of the first kind. Redefining SNR to account for the extra energy in the received signal due to the direct path, one gets, Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

46

[email protected]

γx =

a 2 / 2 + σ x2

(7-78)

σ n2

For a small Rician factor (K), (7-78) reduces to γ x = large K factor, (7-78) reduces to γ x =

a2 / 2

σ n2

σ x2 , which is the SNR of the Rayleigh channel. For σ n2

which is the SNR for Gaussian channel derived in (7-75).

Hence the evaluation of estimated phases error density and phase error variance for Rician channels leads to a general solution that includes variance values for AWGN and Rayleigh channels. The phase error density function of the estimated phase error for the Rayleigh channel [40] is given by P (ϕ )L =

γ x L cos ϕ 1 + 2 2π (1 + γ x L sin ϕ ) 2π (1 + γ x L sin 2 ϕ )3 2

⎛π γ x L cos ϕ ⎞⎟ ⎜ + tan −1 ⎜2 (1 + γ x L sin 2 ϕ )1 2 ⎟⎠ ⎝

(7-79)

Likewise, the variance of the phase estimates for the Rician channel is given by σ ϕ2 =

{

(

)

}

π ∞ ⎡ y2 ⎛ ⎛ 2 K ( K + 1) ⎞ 2 2 K + 1 ⎞⎤ K + 1 −K ⎟dϕdy ⎜⎜1 + ⎟⎟⎥ 1 + y 2π cos ϕ e ( y 2 )cos ϕ [1 − Q ( y cos ϕ )] I 0 ⎜ y e ∫ ∫ ϕ 2 y exp⎢− ⎜ πγ x L γ x L ⎠⎦ γ x L ⎟⎠ θ =0 y =0 ⎣ 2 ⎝ ⎝

(7-80) where y = L R . For a given set of σ n2 , γ x , L, and K, the variance in (7-80) can only be solved using σn

numerical methods. Figure 7-41 shows a plot of this variance for several values of Rician factor K using L=10 [40]. For large values of the Rician factor (K→ ∞ ), where most of the received signal is due to direct line-of-site, the transmission channel becomes an AWGN channel and the variance reduces to the MCRB in (7-13). On the other hand, for small values of the Rician factor (K→ 0), where most of the received signal is due to diffused paths, the variance becomes an upper bound for phase estimates in Rayleigh channels. From the figure, one can predict the performance of carrier phase tracking in Rayleigh, Rician, and AWGN. This can supply a benchmark reference from which worst case estimates can be supplied for the design of receiver links operating in faded channels. To illustrate the use of (780) and Figure (7-41), assume that a mobile receiver is operating in AWGN channel with γ = 30 dB, the phase error variance obtained using the carrier phase estimator in (7-50) will have a variance that is on the order of 4.5 x10 −5 , or 0.38 o , which causes a BER degradation of 0.2 dB. If the mobile receiver operates in a Rician fading channel with K=3 dB instead, the estimator error variance will degrade to 0.9 o which causes the receiver BER to degrade by 1.3dB. Furthermore, if the channel Rician factor gets large, or becomes a Rayleigh fading channel, the estimated phase error variance is 7 x10 −4 , or a standad deviation of 1.9 o and hence cause the receiver BER to degrade by 4.3 dB.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

47

[email protected]

10

10

0

-1

Variance of Phase estimates error

K=0, Rayleigh 10

-2

K=10dB ~ AWGN

10

10

10

10

-3

-4

-5

-6

0

5

10

15

20

γ - dB

25

30

35

40

Figure 7- 40: The Variance of Estimated Phase Error for Several Values of Rician Factor K (dB).

7.13 Illustration Example: Computer Simulation of Data-aided Carrier Frequency Offset Estimation Algorithm

Generate a minimum shift-keying (MSK) signal with symbol rate of 9600 symbols per seconds, and then illustrate carrier frequency recovery using the various algorithms detailed in this chapter: If data preamble or ample symbols were available and known a prior to reception, then the modulation removal can be carried out using a conjugated complex version of this preamble that is generated locally. Then this conjugate replica is multiplied by the received signal that contains the same preamble symbols, thus removing the modulations and leaving the single with only carrier, channel, and symbol timing impairments that are to be estimated. Figure E7-1 illustrates this process. Here the preamble replica known prior is the sequence {a k∗ } .

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

48

[email protected]

y (kTs ) = g k sk e z

− LT

⎛ ⎞ ⎛ T ⎞ j ⎜⎜ 2π ⎜ k +ε ⎟ ∆f +θ k ⎟⎟ ⎝ N ⎠ ⎝ ⎠

s

z

− LT

s

z e

1 gˆ k

− j 2π ∆ fkT

j (δθ + 2π T δf (k + δτ ) y 4k = ∆ak sk e

y3(kT )

y 2(kTs )

y1(kTs )

− LT

s

INT

s

z

− LT

− τˆ

εˆ

aˆ kM

e

− jθˆ

k

θˆ

∆fˆ ∗



ak



ak a

ak

∗ k

Figure E7- 1: Acquisition of Frequency Offset Using Data-aided AlgorithmAssuming that the received near baseband that includes symbol and carrier impairments during part or the entire preamble {a k } is given by y k = ck g k e j (2π∆f (kT +τ )+θ ) + nk

(E7-1)

where the symbols ak ∈{ck } , ck = eϕ k represents phase modulated symbols, and g k is the channel gain. The first step taken to estimate the synchronization parameters {τ , θ , ∆f } is to get rid of the phase modulation ck = eϕ k . Knowing that the signal yk contains part or all (if we are lucky) of the preamble or amble symbols the data modulations are removed by multiplying yk by a locally generated version of the preamble {a k∗ } . That is

z k = y k ak∗ = ck ak∗ g k e j (2π∆f (kT +τ )+θ ) + nk ak∗

(E7-2)

If the frame is known accurately, then the samples corresponding to yk during the preamble can only be separated. Then the process performed in (E7-2) will utilize the fact that ck a k∗ ≈ 1 , and thus the processed signal is now given by z k = g k e j (2π∆f (kT +τ )+θ ) + nk a k∗

(E7-3)

Now as a result of using the reference data ck in (E7-2), the term n k a k∗ contains much less variance than

what takes place when using non-linear processing ( y k + nk ) to remove modulations Also notice that the noise cross signal terms are no longer present. This is the fundamental reason why data-aided techniques will always converge to the Cramer-Rao bound, unlike the behavior of non-data algorithms. M

Now the signal in (E7-3) contains four errors that have to be recovered and removed (equalized), {g , τ , θ , ∆f } . Similar to the case with non-data aided, this multi- variable system can be separated. The Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

49

[email protected]

first separation is based on the use of differential processing which removes symbol timing and carrier phase dependency in (E7-3). That is

(

)(

zk zk∗ −1 = e j (2π∆f (kT +τ )+θ ) + nk' e − j (2π∆f ((k −1)T +τ )+θ ) + nk'

)

(E7-4)

Considering that the de-coupled parameters {τ , θ } do not change from symbol to symbol, the differentially processed signal in (E7-4) is now given by, z k z k∗ −1 = e j 2π∆fT + nk''

(E7-5)

The signal in (E7-5) is now a sine-wave signal containing a frequency of ∆f . To estimate this frequency, the easiest way to do this is by averaging the entire preamble period, and then estimating the frequency by, ∆fˆ =

L −1 1 arg ∑ z k z k∗−1 2πT k =0

(E7-6)

1 1 , which is much larger than the ≤ ∆fˆ ≤ + 2T 2T range associated with the non-data estimate algorithms detailed in memo 3.

The estimates in (E7-6) now have a valid range that is −

There are two other algorithms that have been popular and outperform the estimates in (E7-6). These are the Fitz algorithm [1,3] and the Luis-Regianinni algorithm [2]. The frequency offset estimates based on the Fitz algorithm is given by, ∆fˆ =

1 πN (N + 1)T

N

∑ arg{R(m)}

(E7-7)

m =1

where 1 ≤ m ≤ N , and the condition on N is made based on the maximum frequency offset N ≤

1 . 2∆fT

The inner term in (E7-7) is the sample correlation function and is given by R ( m) =

1 L −1 z k z k∗−m ∑ L − m k =m

(E7-8)

where 1 ≤ m ≤ L − 1 . The L&R [2] frequency offset and is given by, ∆fˆ =

N 1 arg ∑ R (m) π ( N + 1)T m =1

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

(E7-9)

50

[email protected]

The value for N in (E7-9) is chosen based on an optimal criterion given by N = L / 2 . Notice that the difference in the estimate obtained using (E7-7) and the estimate using (E7-9) is in the averaging 1 1 for (E7-7) and ∆f ≤ for (E7-9). placement and in the frequency estimation range that is ∆f ≤ 2 NT NT Also notice that the differential processing z k z k∗−1 (E7-5) to de-couple ∆f from θ ,τ was carried out over two consecutive samples or symbols, and also could have been performed on multiple symbols or samples, as illustrated in frequency estimator shown in Figure E7-1. The choice of how many samples or symbols to perform (E7-5) is totally dependent on the frequency maximum offset that is known, and on the maximum differential time that would still make phase and symbol offsets almost constants. The frequency estimation using z k z k∗−1 in (E7-6), (E7-7), and (E7-9) may not be the most optimal way to estimate the frequency offset ∆f from y k in (E7-1) after having removed the modulations using the local symbol reference. Noise data interaction and the noise associated with z k and z k∗−1 undergo a squaring process once

z k z k∗−1 is computed.

This squaring results in SNR degradation, and thus The product z k z k∗−1 produces the

increases the variance of the final frequency offset estimates. following terms,

(

)(

zk zk∗ = g k e j (2π∆f (kT +τ )+θ ) + ak∗ nk g k −1e j (2π∆f (( k −1)T +τ )+θ ) + ak∗ −1nk −1

)



(E7-10)

Ignoring both timing and phase offsets for now, the signal with the frequency offset is given by z k z k∗ = (g k e j 2π∆fkT + a k∗ nk −1 )(g k −1e j 2π∆fkT e j 2π∆fT + a k∗−1nk −1 )



(E7-11)

Reducing inner product terms further, the modulation-striped signal in (E7-2) with the frequency offset is given by, ∗

∗ k k

z z = g k −1 g k e 123 disturbance

j 2π∆fT

⎞ ⎛ ak∗ nk ⎞⎛ ak∗ −1nk −1 ⎜ ⎟ ⎜⎜1 + ⎟ 1 + j 2π∆fkT ⎟⎜ j 2π∆fT j 2π∆fkT ⎟ g e g e e k k −1 ⎝ 424 ⎠ ⎝144 444⎠4 444444 3

(E7-12)

disturbance

The signal containing the frequency offset in (E7-12) now contains two sources of disturbances. The first comes as a result of the channel interaction with the signal, g k −1 g k , while the second comes from a k∗−1 nk −1 a k∗ nk the noise-data interaction, . Both terms are disturbances that will increase g k −1e j 2π∆fT e j 2π∆fkT g k e j 2π∆fkT the variance of the final estimates of ∆fˆ . To overcome this problem, we propose the use of the DFT processing on (E7-2) without having to resort to phase differentiation with respect to time that results in the disturbance terms in (E7-12). The method by which the frequency offset is extracted using DFT (i.e., implemented as FFT) is detailed in [7]. Using a proper notation, the DFT of the signal in (E7-2) is given by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

51

[email protected]

N −1

Z (k ) = ∑ z[n]e

− j 2π

k n N

, 0 ≤ k ≤ N −1

(E7-13)

n =0

where z[ n] ≡ z k = g k e j (2π∆f (kT +τ )+θ ) + nk ak∗ . Substituting this in (E7-13), the N −1

(

Z (k ) = ∑ g k e n =0

j ( 2π∆f ( nT +τ )+θ )

∗ k

)

+ nk a e

− j 2π

k n N

(E7-14)

where the notation kT was replaced with nT . Expanding the inner terms of (E7-14) , the DFT of the modulation stripped signal (E7-2) is given by

N −1

Z (k ) = ∑ g k e

k ⎞ ⎛ j ⎜ 2π∆fnT + 2π∆fτ +θ − 2π n ⎟ N ⎠ ⎝

n =0

N −1

− j 2π

k n N

+ ∑ nk a e n =0 1 442443 ∗ k

(E7-15)

disturbance

The frequency offset ∆f now can be estimated by searching the magnitude of the spectrum of the DFT bins in (E7-5) for the maximum peak. That is N −1

∆fˆ = max ∑ g k e ∆f

n =0

k ⎞ ⎛ j ⎜ 2π∆fnT + 2π∆fτ +θ − 2π n ⎟ N ⎠ ⎝

N −1

− j 2π

k n N

+ ∑ nk a e n =0 1 442443 ∗ k

(E7-16)

disturbance

Now the symbol timing τ and carrier frequency θ is coupled and can not be estimated as a joint. If symbol timing offset is known, then the carrier phase is estimated by, ⎛ k ⎞ ⎛ k ⎜ N −1 N −1 j ⎜ 2π∆fnT + 2π∆fτ +θ − 2π n ⎟ − j 2π n N ⎠ N + ∑ nk a k∗ e θˆ = arg⎜ max ∑ g k e ⎝ ⎜ ∆f n=0 n =0 1 442443 ⎜ disturbance ⎝

⎞ ⎟ ⎟ ⎟ ⎟ ⎠

(E7-17)

Both carrier frequency and phase offsets can be estimated from (E7-2) by processing the signal through a DFT. Then by locating the maximum energy bin, its frequency corresponds to an estimate of the carrier frequency offset, and its phase corresponds to the carrier phase offsets. This algorithm has smaller disturbance, as shown in (E7-17), than when computing the frequency offset from z k z k∗−1 . Also realize that the phase estimation is also estimated from the same operation taken to obtain estimates of ∆f , unlike when the carrier phase offset can only be estimated after ∆f corrections and again after modulation removal. Another thing to notice here is that a joint estimation of both symbol timing and carrier offset estimates could have been obtained using the DFT in (E7-15), if the frequency offset were known beforehand. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

52

[email protected]

Figures E7-2 through Figure E7-8 illustrate the simulated performance of the algorithm in (E7-6) and (E7-16) in AWGN channel. In all of the figures, the the DFT is a better way to estimate the frequency offset from the signal that had its phase modulations removed. Figure E7-2 illustrates a test MSK signal at 9600sps , having a frequency offset of ∆f = −375 Hz , the reference random sequence used as the preamble, or pilots if you desire. Figure E7-3 illustrates the signal after modulation removal using the reference known symbols, with the frequency offset evident in it as shown in the DFT of Figure E7-4. Figure E7-5 shows the estimation range achieved when using both algorithms, which verifies the 1 1 4 1 1 predicted analytical range of − , where ± ≤ ∆fˆ ≤ + =± = ± 9600 = ±19200 Hz . Figure 2T 2T 2T 2Ts 2 E7-6 illustrates the quantization error taking a place because of finite DFT resolution. Figure E7-7 and Figure E7-8 illustrate the performance of both algorithms (E7-6 & E7-16) as a function of Eb N o . The frequency offset was set to -1000Hz, and the SNR was varied. The DFT was 1024 bins wide, and the PN reference symbols were 300 for Figure E7-7 and E7-5 symbols for Figure E7-8. In both figures, the over sampling factor was 4 samples per symbols. The figures clearly show that using the DFT as an estimator after data removal is the most optimal. In the following memos, we will present more results on both algorithms, especially in reference to fading channels. Real part of RX and preamble PN sequence

4

I

2 0 -2 -4

0

50

100

150

200

250

300

350

400

450

Imaginary part of RX and preamble PN sequence 4

Q

2 0 -2 -4

0

50

100

150

200 250 sample #

300

350

400

450

Figure E7- 2: A Burst Signal with 1000 Symbols and 100 Preamble PN Sequence, Over Sampled at 4 Samples per Symbols, with ∆f = −375 Hz , and θ = 10 o , Eb N o = 8dB MSK Signal with h=1/2 and 9600sps.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

53

[email protected]

Signal after modulation removal using DA 4 freq-off=-375Hz, and Phase-off=20o (removed)

I

2 0 -2 -4

0

50

100

150

200

250

300

350

400

450

0

50

100

150

200 250 Sample #

300

350

400

450

4

Q

2 0 -2 -4

Figure E7- 3: Recovered Signal Containing Frequency Offset and Phases Removal Using z k z k∗−1 , Over Sampled at 4 Samples per Symbols, with ∆f = −375 Hz , and θ = 10 o , Eb N o = 8dB MSK Signal with h=1/2 and 9600sps.

4

2 1.5

4

corr

x 10

2 Rs=9600sps N=4 samples/symbol fs=38400

1.5 Estimated frequency offset -Hz

Estimated freq. offset - Hz

1 0.5 0 -0.5

-fs/2=19200 Hz

-1 -1.5 -2 -2

DFT

x 10

1 0.5 0 -0.5 -1 -1.5

-1.5

-1

-0.5 0 0.5 Intentional freq. offset - Hz

1

1.5

-2 -2

2 4

x 10

-1.5

-1

-0.5 0 0.5 Intentional frequency offset -Hz

1

1.5

2 4

x 10

Figure E7- 4: Estimated Range for both Algorithms, E b N o = 100dB , 9600sps; Over Sampling Factor is 4 Samples per Symbols, DFT has 4096 bins.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

54

[email protected]

Corr & DFT

10 DFT, 4096 bins

arg(z(t)*z(t-ts)

Estimation error -Hz

5

0

-5

-10

-15 -1.5

-1

-0.5 0 0.5 Intentional frequency offset -Hz

1 4

x 10

Figure E7- 5: Estimated Error of Both Algorithms, E b N o = 100dB , 9600sps; Over Sampling Factor is 4 Samples per Symbols, and DFT has 4096 bins, Blue is Algorithm (E7-6).

4000 3000

arg z(t)z*(t-ts)

Estimated offset-Hz

2000

PN = 300 symbols Ns=4 samles/symbol DFT=1024 bins Fo ff=375 Hz (exact bin)

1000 0 -1000

DFT

-2000 -3000 -4000 -10

-5

0

5

10

15

Eb /No

Figure E7- 6: Performance of the Frequency Offset Estimation Algorithms, DFT (E7-16) and the Phase Differentiation Algorithm in (E7-6). The PN sequence preamble was 300 symbols, over sampled by 4, and the frequency offset was fixed to 375 Hz (exact DFT bin). Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

55

[email protected]

4

2

x 10

Frequency offset =-1000Hz PN=5 symbols Ns=4 samples/symbol

Estimated Frequency- Hz

1.5

1

DFT algorithm (16)

0.5

0

-0.5 phase diff. Algorithm (6) -1

-1.5 -10

-5

0

5

10

15

Eb /No -dB

Figure E7- 7: Performance of the Frequency Offset Estimation Algorithms, DFT (E7-16) and the Phase Differentiation Algorithm in (E7-6). The PN sequence preamble was 5 symbols, over sampled by 4, and the frequency offset was fixed to -1000 Hz (not exact DFT bin).

Standard deviation from introduced offset -Hz

6000 Freq-Off=300Hz L=50 symbols Ns=4 samples/symbol

5000

MSK, h=1/2 4000 Phase method differentiation method DPH

3000

2000

1000

DFT method

0

-1000 -6

-4

-2

0

2 4 Eb /No -dB

6

8

10

12

Figure E7- 8: Standard Deviation of the Estimates Using both Algorithms in (E7-6) and (E7-16), Illustrating That the DFT Based Estimates Perform Much Better Than the Differential Phase estimation Method Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

56

[email protected]

500 PHD method Phase differentiation method

450

Freq.-Off=300Hz L=50 Symbols Ns=4 samples/symbol

Mean of estimates - Hz

400 350 300 250 200

DFT method

150 100 50 0 -6

-4

-2

0

2

4

6

8

10

12

Eb /No

Figure E7- 9: Mean of Estimates of 300Hz Offset Using Both Algorithms in (E7-6) and (E7-16), Illustrating That the DFT Based Estimates Perform Much Better Than the Differential Phase Estimation Method.

7.14 Illustrative Example: Computer Simulated Data-aided Carrier Phase Offset Estimation Algorithm

Using the figure illustrated in E7-1, discuss and simulate data-aided carrier phase estimation for the MSK signal used. Having corrected for the frequency offset ∆f in (E7-1), the carrier phase offset θ can be extracted from the same signal after removing the modulations, assuming that the frequency offset and symbol timing estimation and correction were performed accurately. The corrected baseband signal (E7-1) is now given by, y3k e − j 2π∆fkT = ck g k e jθ + nk e − j 2π∆fkT ˆ

ˆ

(E7-16)

To estimate and remove carrier phase rotations in (E7-16), the modulations ck have to be removed first. That is y3k ak∗ e − j 2π∆fkT = ak∗ ck g k e jθ + nk ak∗ e − j 2π∆fkT ˆ

ˆ

(E7-17)

Assuming that the disturbance terms nk ak∗ e − j 2π∆fkT are still some form of AWGN, the signal in (E7-17) is now reduced to, ˆ

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

57

[email protected]

y3k ak∗ e − j 2π∆fkT = g k e jθ + nk' ˆ

(E7-18)

The signal in (E7-18) now contains only carrier phase rotations, and thus estimation of this phase is obtained by, L −1

L −1

θˆ = arg ∑ y3 k a k∗ e − j 2π∆fkT = arg ∑ e − jθ = θ ˆ

k =0

(E7-19)

k =0

The estimate in (E7-19) is bounded by the − π ≤ arg( x) ≤ +π , which is much larger than the estimated range of the M-power non-data algorithms illustrated previously in memo 3.

20

STD and CRB of estimated phase -degrees

18

L=50 symbols Ns=4 samples/symbol

16

Phase-Off=10o

14 12 10 8 6 4 2 0 -10

σ θ ,CRB -5

0

5

10

15

Eb /No -dB

Figure E7- 10: Standard Deviation of DA Phase Estimates Using Both Algorithms in (E7-19).

7.15

Illustrating Example: Non-Data Aided Carrier Frequency Offset Estimation Algorithms

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

58

[email protected]

Using the figure illustrated in E7-11, discuss and simulate non-data aided carrier phase and frequency offset estimation for the MSK signal used. Non-data aided acquisition algorithms are those that can estimate and compensate symbol timing, channel gains, and carrier offsets without the use of either a priori such as preamble or unique words, nor use any data decisions. These algorithms utilize nonlinear processing to strip the data modulations from the received signals leaving it with only channel impairments, such as channel fading gain, symbol timing, and carrier offsets. While these algorithms require no transmission of overhead, which is attractive from a transmission efficiency point of view, they tend to be noisy due to the noise enhancement arising from the use of non-linear processing.

y ( kTs ) = g k sk e

⎛ ⎛ T ⎞ ⎞ j ⎜⎜ 2π ⎜ k +ε ⎟ ∆f +θ k ⎟⎟ ⎝ ⎝ N ⎠ ⎠

y1(kTs )

z −LTs

y 2( kTs ) z − LTs

1 gˆ k

z e

− LTs

− j 2π∆fkTs

y 4( kT ) z

INT

− LT

− τˆ

εˆ

aˆ kM

y 3( kT )

e

− jθˆk

y 4 k = ∆ a k sk e j (δθ + 2πTδf (k +δτ ))

θˆ

∆fˆ

Carrier Phase Offset estimation/correction

Carrier Frequency Offset estimation/correction

Figure Figure E7- 11: Illustration of NDA Carrier Recovery Algorithms. Figure E7-11 illustrates two stages of carrier acquisition using NDA algorithms. The first algorithm estimates and corrects the frequency offsets associated with the input near baseband signal, while the second stage estimates the carrier offsets and corrects for them. Realize that frequency offset corrections can be carried out prior to timing, and thus can be performed at the sample rate or at multiple samples per symbols, while carrier phase estimation is carried out at the symbol rate. This is advantageous because most of the time the maximum frequency offset is known beforehand and thus the frequency estimation algorithm can decimate the number of samples per symbol to an appropriate rate that can accommodate this maximum frequency offset, and thus reduce the computational load of the algorithm. For frequency estimates, while ignoring channel gain, the input near baseband signal in AWGN channel is given by y1(kTs ) = e j (2π∆f ( kTs +τ )+θ k +φk ) + nk

(E7-1)

where e − j (2π∆f ( kTs +τ )+θ k ) is a phasor representing the symbol timing as well as the carrier frequency and phase offsets. Realize that the frequency estimation and correction can be carried out without the symbol timing offset error information τ k and without knowledge of the carrier phase θ k . This is true since the frequency estimation process (as will be detailed later) is based on differential sample cross-correlation functions that eliminates symbol timing and phase dependency in (E7-1). Frequency offset correction is then achieved by multiplying the signal in (E7-1) by a phasor that conjugates the frequency shift at the sample rate. That is

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

59

[email protected]

y 2(kTs ) = y1(kTs )e − j 2π∆fkTs = ak e j (−2π∆fτ +θ k +φk ) + nk ˆ

(E7-2)

Even though the correction was done at the sample rate in (E7-2), the estimation of ∆f itself does not have to be carried out at the sample rate, since the maximum offset that ∆f max is known prior. To estimate the initial frequency offset ∆f in (E7-2), the phase modulations as well as symbol timing and carrier rotations have to be removed first. Realize that symbol timing and phase rotations from one sample to another (or multiple of them) over a reasonable interval are constants or slowly varying, that is τ k ≈ τ k −1 and θ k ≈ θ k −1 . This assumption will able us to cancel both parameters from (E7-2) by differential detection (sample cross-correlation), then the carrier phase modulations will be removed by nonlinear processing as described in [1,2,3,4], assuming that the carrier phase modulations is represented by s (kTs )e − jφk . The M-nonlinear processing of (E7-2) to remove MPSK modulations is carried out by equation (E7-3),

(y

[

∗ = s(kT ) s ((k − 1)T ) * (e j (2π∆f (kT +τ )+θ ) + nk )(e j (2π∆f ((k −1)T +τ )+θ ) + nk ) k y k −1 ) M

]

∗ M

(E7-3)

Expanding the inner terms, (E7-3) is reduced to,

(y

k

y k∗−1

)

M

(

= s ( kT ) s ((k − 1)T ) * e j (2π∆fkT + 2π∆fτ +θ − 2π∆fkT + 2π∆fT −τ 2π∆f −θ ) + n k'

)

M

(E7-4)

Canceling terms in (E7-4), the nonlinearly processed signal that is fed into the frequency estimator is given by

(y

y k∗−1 ) = (s (kT ) s ((k − 1)T ) * e j 2π∆fT + n k' ) M

k

M

(E7-5)

Equation (E7-5) now contains only terms that correspond to differential symbol detection and the Mpowering of the near baseband signal, which is better represented by (E7-6),

(y

y k∗−1 ) = (s k s k∗−1 ) e j 2πM∆fT + nk'' M

k

M

(E7-6)

For MPSK, the term (sk sk∗ −1 ) = 1 , hence the nonlinearly processed signal in (E7-6), is now given by, M

(y

y k∗−1 ) = e j 2πM∆fT + n k'' M

k

(E7-7)

This is a sine wave signal with a fundamental frequency of M∆f with some variance due to the nonlinear processing of the noise. This frequency can then be estimated using spectral analysis algorithms (DFT) or by simply estimating the phase that is associated with (E7-7), that is ∆f ≈

M 1 arg ( y k y k∗−1 ) 2πMT

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

(E7-8) 60

[email protected]

To reduce the impact of the variance of this estimate due to the nonlinear noise processing in (E7-7), this estimate can be averaged over a finite interval of symbols. That is ∆fˆ =

L −1 M 1 arg ∑ ( y k y k∗−1 ) 2πMT k =0

(E7-9)

The estimate in (E7-9) is bounded by the non-ambiguous region of the arctangent function, that is − 1 2 MT ≤ ∆fˆ ≤ + 1 2 MT . Scatter plot 2

1.5

1.5

1

1

0.5

0.5 Quadrature

Quadrature

Scatter plot 2

0

0

-0.5

-0.5

-1

-1

-1.5

-1.5

-2 -2

-1

0 In-Phase

1

-2 -2

2

-1

0 In-Phase

1

12

14

2

Figure E7- 12: Frequency Offset of 1200 Hz with 9600sps QPSK. 5000 4000

Frequency Estimates-Hz

3000 2000 1000 0 -1000 -2000 -3000 -4000 -5000

0

2

4

6 8 Eb /No -dB

10

Figure E7- 13: Estimates Using (E7-9) with Offsets of 0Hz and 1200Hz, 9600sps with N=4, L=1000 Symbols.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

61

[email protected]

2500

L=25 symbols N=4 samples/symbols

Estimation error STD -Hz

2000

1500

1000

500

0

4

5

6

7

8

9 10 Eb /No -dB

11

12

13

14

15

Figure E7- 14: Estimation Variance and Mean Error (top) as a Function of Eb N o using L=25 Symbols (N=4), CRB is indicated (bottom)

5000 4000

Frequency Estimates -Hz

3000 2000 1000 0 -1000 -2000 -3000 -4000 -5000 -6000

-4000

-2000 0 2000 Frequency Introduced Error-Hz

4000

6000

Figure E7- 15: Estimate Range Using (E7-9) , 9600sps with N=4, L=1000 Symbols, and Eb N o = 10dB and Eb N o = 5dB . Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

62

[email protected]

7.16

Illustration Example: NDA Carrier Phase Estimation Algorithms

The signal, after it has been corrected with initial carrier frequency and symbol timing offsets, is given by, y3(kTs ) = e j (θ k +φk ) + nk

(E7-1)

where e j (θ k +φk ) is a phasor representing the carrier phase offsets and the phase modulations. To obtain a reliable estimate of θ k the phase modulations e j (φk ) have to be removed. One way to achieve this is to pass the baseband signal through a nonlinear M-power operator that cancels the modulations. That is

( y3(kTs ) )M

(

= e j (θ k +φk ) + nk

)

M

(E7-2)

which is then represented by, y kM = e jM (θ k +φk ) + nkM

(E7-3)

It will be shown using some example that for MPSK modulations, e jM (φk ) = 1 , and thus the modulation removal from (E7-1), leaving the signal only with the carrier phase shift ,that is y kM = e jMθ k + nkM

(E7-4)

However, the noise term nkM that has been enhanced by the non-linear processing is a major disturbance of these estimates, and will prove to be the limiting factor in using these algorithms at low SNR especially for Turbo coded signals. Using (E7-4), it is clear that the carrier phase estimates are now estimated by,

θˆ =

L −1 1 arg ∑ y kM M k =0

(E7-4)

where M is the symmetry angle of the modulation constellations (M=2 for BPSK/MSK, and 4 for QPSK). Again, since the arctangent function has a non-ambiguous region only over − π ≤ arg( x ) ≤ +π , the estimation in (4) is bounded by −

π

π ≤ θˆ ≤ − M M

(E7-5)

To cope with the M-fold ambiguity in the phase estimates, it is possible to use differential decoding (which is not an option for us), or using unique words (UW). The use of UW will be considered here as a viable option to extend the range of these estimators. The estimates in (4) are also lower bounded by the Cramer-Rao bound [1] that is given by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

63

[email protected]

σ θ2ˆ > CRB (θˆ) =

1 2 L(E s / N o )

(E7-6)

To illustrate the estimates in (E7-4) using MPSK, we take as example BPSK and QPSK carrier phase estimation. Assuming that the received BPSK baseband signal and carrier phase offsets in AWGN channel is given by x k = c k e jθ + n k

(E7-7)

where the BPSK symbols are given by c k = e j ( m +1)π , where m=0 for Binary '0' and m=0 for Binary '1'. Substituting this in (E7-7), yields,

xk = e j ( m +1)π e jθ k + nk

(E7-8)

Using the estimation algorithm in (E7-4) with M=2 for BPSK, the carrier phase estimates using a block of L-symbols is given by

θˆ = arg ∑ (e j ( m +1)π e jθ + nk ) 1 2

L −1

k

2

(E7-9)

k =0

Realizing that e 2 j ( m +1)π e 2 jθ k = e 2 jθ k in (E7-10), and then expanding the inner terms in, the carrier phase estimates are given by L −1





θˆ = arg ∑ ⎜ e 2 jθ + 2e j ( m+1)π e jθ nk + nk2 ⎟ 1 2

k =0

⎜ ⎝

k

k

14442444 3⎟ noise ⎠

(E7-10)

This estimate is then limited to the non-ambiguous region (E7-5) of − 90 o ≥ θˆ ≤ +90 o . Similarly, For QPSK symbols c k = e given by xk = e

j(

2 m +1 )π 4

j(

2 m +1 )π 4

, where m=0,1,2,3, the baseband signal in AWGN with phase rotations is

e jθ k + n k

(E7-11)

Performing the carrier estimation algorithm in (E7-4) over (E7-11), the carrier phase estimates over Lsymbols is given by, π 2 m +1 L −1 ⎛ −j ⎞ )π j( 1 jθ k 4 ˆ ⎜ θ = arg ∑ ⎜ (e e + nk )e 4 ⎟⎟ 4 k =0 ⎝ ⎠

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

4

(E7-12) 64

[email protected]

−j

π

The extra phasor e 4 in (E7-12) was added to rotate the standard QPSK constellation by 45-degrees so that the angle corresponding to the carrier frequency is actually at the base reference of the first quadrant. Expanding the inner terms in (E7-12), and using the fact that e j 2πm e 4 jθ = e 4 jθ (i.e., e jmπ is the same regardless of the value of m) the estimates are then given by 4

L −1 ⎛ ⎛ j ( 2 m +1 )π L −1 ⎞ − jπ ⎞ 1 1 θˆ = arg ∑ ⎜ ⎜⎜ e 4 e jθ k + nk ⎟⎟e 4 ⎟ = arg ∑ e 4 jθ k + n' ⎜ ⎟ 4 4 k =0 ⎝ ⎝ k =0 ⎠ ⎠

(E7-13)

Again, the estimates in (E7-13) are bounded by the non-ambiguous region of the arctangent function used in (4), − 45o ≥ θˆ ≤ +45o . The following figures illustrate computer simulations of the algorithms derived in (E7-13) for QPSK signals in AWGN channels. The simulations were carried out for QPSK and MSK modulations using 9600sps as an example. Factors such as frequency offset, SNR ratio, and observation lengths were used to compare the performances of both algorithms. Figure E7-16 illustrates the algorithm (E7-13) capture range when operating on QPSK signals at Eb N o = 4dB and with an observation length of 100 symbols (10 milliseconds).

Figure E7-17 illustrates the accuracy of the estimator in (E7-13) as a function of Eb N o for an observation interval (L) of 100 symbols (10 milliseconds). The figure indicates that at Eb N o =5dB, the standard deviation in estimation error is less than 3-degrees compared with 1-degree predicted by the CRB (indicated by blue line). Figure E7-18 illustrates the same simulation experiment, but with a larger observation length of 500 symbols (50 milliseconds). The algorithm yields much more accurate estimates and converges to the CRB lower bound earlier. It can be seen that at Eb N o =5dB the standard deviation error is less than 1-degree, compared with 0.5 degree using the CRB.

Figure E7-18 illustrate the impact of frequency offset on the algorithm in (E7-13). It can be seen that for this algorithm to operate properly the frequency offset must be accurately estimated and corrected prior to phase estimation and correction. Figure 6 indicates that at Eb N o =10dB the carrier phase estimates obtained using the algorithm in (4) will have an error of ± 5 o when presented with a normalized residual frequency offset error of ∆fT = ±1x10 −4 from the previous frequency estimation algorithms.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

65

[email protected]

50 L=100 symbols N=4 samples/symbols Eb /No =4dB

40

Estimated phase error - degrees

30 20 10 0 -10 -20 -30 -40 -50 -50

-40

-30

-20 -10 0 10 20 Introduced phase error - degrees

30

40

50

Figure E7- 16: QPSK Carrier Phase Estimation Range for Eb N o = 4dB and an Observation Interval (L) of 100 Symbols. 14 L=100 symbols N=4

12

sqrt(var) - degrees

10

8

6

4

2

0

0

5

10

15

Eb /No

Figure E7- 17 Estimation Phase Error (top) and Variance (bottom) as a Function of Eb N o for an Observation Interval (L) of 100 Symbols.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

66

[email protected]

3.5 L=500 symbols Ns=4 samples/symbol

3

sqrt(var)

2.5

2

1.5

1

0.5

0

0

5

10

15

Eb /No

Figure 1: Estimation Phase error (top) and Variance (bottom) as a Function of Eb N o for an Observation Interval (L) of 500 Symbols. 3.5 L=500 symbols Ns=4 samples/symbol

3

Norm. Frequency offset: 1E-4

sqrt(var)

2.5

2

1.5

1

0.5

0

0

5

10

15

Eb/No

Figure E7- 18: QPSK Estimated Phase Variance and CRB (indicated by blue line) Comparison with Estimates Using a Signal with a Frequency Offset of ∆fT = 0 and ∆fT = 1x10 −4 ; the Observation Length (L) is 500 symbols. 7.17

Illustrating Example: Phase Estimation Ambiguity Estimation

Illustrate the use of unique words as a way to resolve ambiguities with NDA phase estimators. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

67

[email protected]

2π Since the estimate in (E7-13) will always be θˆ = θ + m , where m=0, 1, 2, …., corresponding to the M phase ambiguous region number, the estimator can never tell weather its current estimate is in the valid m-region. To validate the value of m using the estimator (E7-13), a transmitted unique word can be used to establish this reference by comparing it with a local replica that is known to the receiver. Assuming that the UW samples from the transmitted signal are given by

x k = u k e jθ + n k

(E7-14)

Where u k are samples of the transmitted UW, where 0 ≤ k ≤ LUW − 1 .

These samples are correlated

∗ k

with the local conjugated replica of the UW symbols c ,

(

y k = ck∗ u k e jθ + nk

)

(E7- 15)

resulting in removal of these symbols from (E7- 14).

2π With the estimate from (E7-13) being θˆ = θ + m (with m being unknown), the correlation in (E7M 15) is then given by

(

y k = (u k e + nk ) c e jθ

∗ − jθˆk k

) = (u e k



⎛ ∗ − j ⎛⎜ θ + 2Mπ m ⎞⎟ ⎞ ⎠⎟ + nk )⎜ ck e ⎝ ⎟ ⎜ ⎠ ⎝

(E7- 16)

This is then reduced to, yk = e

−j

2π m M

+ n k'

(E7- 17)

The signal in (E7-17) is represents the baseband signal, after having its modulation symbol corresponding to the UW removed using (E7-15) and also after having been corrected with the estimated carrier phase offsets estimated using (E7-4). This signal now will contain a phase shift that corresponds directly to the m-ambiguity, and this can be guessed now using a maximum likelihood (ML) approach. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

68

[email protected]

That ML based estimate of the ambiguity region (m) is then given by ⎛ ⎧ + j 2Mπ mˆ ⎫ ⎜ mˆ = arg max Re⎨ y k e ⎬ ⎜ mˆ ⎩ ⎭ ⎝

⎞ ⎟ ⎟ ⎠

(E7-18)

Now with this technique, the received carrier does not have to be constrained in initial offset to the π π range of − ≤ θ ≤ + anymore, and thus the algorithm in (E7-13) can be used without any M M ambiguities.

7.18

Illustrating Example: Carrier Frequency Offset Estimation to CPFSK signals

Illustrate the use of the NDA frequency estimation algorithm used in Figure E7-11 for use with MSK modulated signals. While the estimates in (E7-13) are strictly applicable to MPSK signals, it can be extended to M-ary CPFSK signals. Realizing that for MSK signals, the differential phase between two trellis phase states is always given by

ϕ (kT ,α ) − ϕ (kT − T ,α ) = ±

π

(E7-19)

2

Removal of the MSK modulations will be carried out by a similar nonlinear processing as in (E7-13); however, the order of the non-linearity is now 2P, where the denominator of the modulation index is 1 (h), where h = . Thus the near baseband CPFSK signal is given by P

y k2 P (2 E s T ) e j 2 P (2π∆fk +θ ) + nk 2P

(E7-20)

which again is a simple a sine wave signal with a frequency of 2 P∆f . Thus the estimated frequency offset is obtained by,

∆fˆ =

L −1 2P 1 arg ∑ ( y k y k∗−1 ) 4πPT k =0

(E7-21)

Figure E7-2 illustrates this nonlinear processing using a 9600sps MSK signal, where as a result of a frequency offset of ∆f = 1kHz , the created spectral tone is at ∆fˆ = 4kHz .

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

69

[email protected]

20 15

Power Spectrum Magnitude (dB)

10 5 0 -5 -10 -15 -20 -25 -30

0

0.5

1

1.5

2 Frequency

2.5

3

3.5

4 4

x 10

Figure E7- 19: Spectrum of ( y k y k∗−1 ) for MSK Signal with ∆f = 1kHz . 2P

7.19

Illustrating Example: Carrier Frequency Offset Estimation to CPFSK signals

Illustrate the use of the NDA phase estimation algorithm used in Figure E7-11 for use with MSK modulated signals. The extension of the algorithm (E7-9) to MSK modulated signals can be performed in a manner similar to that detailed above for MPSK signals. Here passing the basenabd signal through ( y k ) removes the modulations, resulting in a signal that contains only phase rotations. Let the CPFSK modulation index K be given by h = , by taking the baseband CPFSK to the power 2 P . In this way, the CPFSK P modulations are removed. 2P

P

⎛ 2E ⎞ yk2 P = ⎜ s ⎟ e j 2 Pθ + nk ⎝ T ⎠

(E7-22)

which now can be used for obtaining the phase estimates given by

θˆ =

L −1 1 arg ∑ yk2 P 2P k =0

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

(E7-23)

70

[email protected]

π π The estimate θˆ in (E7-2) is also bounded by − . Figure E7-20 and Figure E7-21 illustrate ≤ θˆ ≤ 2P 2P the carrier phase estimation variance as a function of Eb N o for an observation interval of 300 symbols (30 milliseconds) and 1000 symbols (100 milliseconds)), respectively. The estimation error standard deviation at Eb N o =5dB shows an error of 10 o for L=300 symbols compare to 2 o of CRB, and an error of 9 o for L=1000 symbols. 12

10

L=300 symbols

sqrt(var)

8

6

4

2

0

5

10

15

20

Eb /No

Figure E7- 20: MSK Carrier Phase Error (top) and Variance as a Function of Eb N o for 2P=4 and an Observation Interval of L=300 Symbols.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

71

[email protected]

9 8 L=1000 symbols 7

sqrt(var)

6 5 4 3 2 1 0

5

10

15

20

Eb /No-dB

Figure E7- 21: MSK Carrier Phase Error (top) and Variance as a Function of Eb N o for 2P=4 and an Observation Interval of L=1000 Symbols.

7.20

Illustrating Example: Diversity Combining of Synchronization Parameters

Illustrate how estimation algorithms detailed above can be incorporated in a diversity receiver to increase the reliability during fading by co-phasing multipel signals that are being received using antennas that are spatially separated and have independent fading. The use of these algorithms in the pre-detection proposed three branches diversity system is illustrated in Figure E7-22. The usefulness of the algorithms becomes apparent by observing what happens to the received signals in time and frequency as illustrated in Figure E7-23 and Figure 7-24, respectively. Figure E7-23 illustrates the frequency selective fading impact on a nine adjacent narrowband communication system, while Figure 7-24 illustrates the impact for fading on a preamble that is sent for data-aiding synchronization. In the following analysis we show how co-phasing multiple of antennas can actually avoid impact for fading on the data being transmitted through use of the synchronization algorithms detailed above.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

72

[email protected]

Tree Antenna system Analog Section

Delay FIFO

RF Receiver r1

r2

Final Correction and co-phasing

Delay FIFO

Correction R1’

r3

R2’

R3’

Fine Timing offset, Frequency offset, Phase offset, and gain Block estimators

Coarse Timing offset, Frequency offset, Phase offset, and gain Block estimators

Figure E7- 22: Proposed Feedforward Diversity Combining

0

0

-10

-10

-20

-20 dB

10

dB

10

-30

-30

-40

-40

-50

-50

-60 -1.5

-1

-0.5

0 Hz

0.5

1

1.5

-60 -1.5

-1

-0.5

0 Hz

5

x 10

0.5

1

1.5 5

x 10

Figure E7- 23: Indicated Frequency Fading for Frequency Selective Channel with Delay at 9600sps and fs=307200sps Impact on 8-ary CPFSK in a 300kHz Bandwidth , and Then More Paths. 1.5

1.5

1

1

0.5

0.5

0

0

-0.5

-0.5

-1

-1

-1.5

0

1000

2000

3000

4000

5000 time

6000

7000

8000

-1.5

9000 10000

0

1000

2000

3000

4000

5000 time

6000

7000

8000

9000 10000

Figure E7- 24: Impact of Fading on the Preamble of the Signal. The propagating channel response experienced by the sampled baseband signal is typically made of amplitude gain a k , phase shift θ k , and a frequency offset ∆f k , where k is the sample index. Using these parameters, the received signals in Figure 1 are given by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

73

[email protected]

⎡ r1k ⎤ ⎢r 2 ⎥ = a1 e j (θ 1k + 2πk∆f 1k T ) k ⎢ k⎥ ⎢⎣ r 3k ⎥⎦

⎡ x1k ⎤ ⎢ x2 ⎥ + a2k e a3 k e ⎢ k⎥ ⎢⎣ x3k ⎥⎦ (E7-24) ⎡ n1k ⎤ a1k e j (θ 1k + 2πk∆f 1k T ) a 2 k e j (θ 2k + 2πk∆f 2k T ) a3k e j (θ 3k + 2πk∆f 3k T ) ⎢⎢n 2 k ⎥⎥ ⎣⎢ n3k ⎦⎥

[

j (θ 2 k + 2πk∆f 2 k T )

j (θ 3k + 2πk∆f 3k T )

[

]

]

where {x1k , x 2 k , x3 k } is the phase modulated signal sent, {n1k , n 2 k , n3k } is the corresponding AWGN in the three branches, {a1k , a 2 k , a3 k }are the discrete representation of the three channel gains, {θ 1k ,θ 2 k ,θ 3k }are the phase rotations associated with each branch, and {∆f 1k , ∆f 2 k , ∆f 3k } are the frequency offsets associated with each branch. The maximally ratio combined output ~ rk is then obtained using a set of optimal complex weights that are obtained using a coarse and fine estimators. That is

[

~ rk = hˆ1∗ k

hˆ2



k



hˆ3

k

]

⎡ r1k ⎤ ⎢r 2 ⎥ ⎢ k⎥ ⎢⎣ r 3k ⎥⎦

(E7-25)

where the complex optimal weights of each branch are given by − j (θˆ1k + 2πk∆fˆ 1k T ) ⎤ ⎡ ⎡hˆ1∗ k ⎤ ⎢aˆ1k e ⎥ ⎢ ∗ ⎥ ⎢ ˆ 2 + 2πk∆fˆ 2 T ) ⎥ ( j − θ k k ⎢hˆ 2 k ⎥ = ⎢aˆ 2 k e ⎥ ⎢ˆ ∗ ⎥ ⎢ − j (θˆ 3k + 2πk∆fˆ 3k T ) ⎥ ⎢⎣h3 k ⎥⎦ ⎢aˆ 3 k e ⎥ ⎦ ⎣

(E7-26)

where the notion of xˆ indicates the estimate of x . The coarse and fine estimates for obtaining the channel gain and phase, and the frequency offsets, are based on either data-aided (DA) schemes such as those details in or on non-data aided (NDA) schemes. .

7.21

References

1 Steve Gardner, “Burst modem design, part 1,” Communication System Design Magazine, pp. 37-44, Aug 1999. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

74

[email protected]

2 Heinrich Myer and Gerd Ascheid, Synchronization in Digital Communications Volume-1, New York, Wiley, 1989. 3 J. Chuang, and N. Sollenberger, “Burst coherent detection with robust frequency and timing estimation for portable radio communications,” Proceedings of ICC, 1988, pp. 26.1.1-26.1.6. 4 C. Couvreur, Y. Bresler, “Modeling and estimation of Doppler-shifted Gaussian random process,” IEEE workshop on Statistical Signal and Array Processing, Greece, Jun 24-26, 1996. 5 Abbas Aghamohamadi, Henrich Meyr, and Gerd Ascheid, “A new Method for Phase Synchronization and Auto gain Control of Linearly Modulated Signals on Frequency-Flat Fading Channels,” IEEE Trans. Commun. vol. 39, No. 1, pp. 25-29, January 1991. 6 M. Morelli, A. D’Andrea, and Mengali, “Frequency ambiguity resolution in OFDM systems,” IEEE Commun. Lett., vol. 4, No. 4, pp. 134-136, Apr 2000. 7 F.D. Natali, “AFC tracking Algorithms,” IEEE Trans. Commun, vol. 32, No. 8, Aug 1984, pp. 935947. 8 Said Moridi and Hikmet Sari, “Analysis of four decision-feedback recovery loops in the presence of inter-symbolic interference,” IEEE Trans. Commun. vol. Com-33, no. 6, pp. 543-550, Jun 1985. 9 M. Nezami, “Phase Lock Loop Designs, making it easy with Pspice Simulations,” Communications Magazine, pp. 143-153, Apr 1995. 10 Steven Leeland, “Digital signal processing in satellite modem design,” Communication System Design Magazine, pp. 21-29, June 1998. 11 J.J. Stiffler, Theory of Synchronous Communications, New Jersey, Prentice-Hall, 1971. 12 S. Bellini, C. Molinari, and G. Tartara “Digital frequency estimation in burst mode QPSK transmission,” IEEE Trans. Commun., vol. 38, no. 7, pp. 959-961, Jul 1990. 13 Thad Genrich, “BPSK demodulator/bit synchronizer FPGA implementation & benchmarks,” ICSPAT 1999. 14 Andrew Viterbi and Audrey Viterbi, “Nonlinear estimation of PSK-modulated carrier phase with application to burst digital transmission,” IEEE Trans. Commun., vol. IT-29, No. 4, pp. 543-551, Jul 1983. 15 M. Nezami and R. Sudhakar, “M-QAM digital symbol timing synchronization in flat Rayleigh fading channels,” PRMIC, Osaka, Japan, Nov 1999. 16 M.K. Nezami and J. Bard, “Preamble-less carrier recovery in fading channels,” Milcom2000, Los Angeles, CA, Oct 2000. 17 M. Nezami and R. Sudhakar, “New schemes for 16-QAM symbol recovery,” EUROCOMM 2000 Münich, Germany, 17-19 May 2000. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

75

[email protected]

18 M. Nezami and R. Sudhakar, “M-QAM digital symbol timing synchronization in flat Rayleigh fading channels,” PRMIC, Osaka Japan, Nov 1999. 19 M. Nezami, “DSP algorithms for carrier offset estimation and correction,” ICSPAT, Orlando, FL, USA, Nov 1999. 20 M. Nezami and H. Otum, “Fine tuning frequency offset errors in M-QAM digital burst receivers using DSP techniques,” Third international conference on computational aspects and their applications in electrical engineering, Amman, 19-20 Oct 1999. 21 M. Nezami, “Non-linear M-QAM digital symbol timing synchronization algorithm suited for wireless handheld radios,” Third international conference on computational aspects and their applications in electrical engineering, Amman, 19-20 Oct 1999. 22 M. Nezami, “Digital synchronization algorithms for wireless burst QAM receivers,” Wireless Symposium, San Jose, CA/USA, Feb 1999. 23 M. Fitz, “Further results in the fast estimation of a single frequency,” IEEE Trans. Commun., vol. 42, No. 2/3/4, pp. 862-864, Feb/Mar/Apr 1994. 24 Umberto Mengali and M. Morelli, “Data-Aided frequency Estimation for burst digital transmission,” IEEE Trans. Commun., vol. 43, No. 1, pp. 23-25, Jan 1997. 25 M. Fitz, “Further results in the fast estimation of a single frequency,” IEEE Trans. Commun., vol. 42, No. 2/3/4, pp. 862-864, Feb/Mar/Apr 1994. 26 Umberto Mengali and M. Morelli, “Data-Aided frequency Estimation for burst digital transmission,” IEEE Trans. Commun., vol. 43, No. 1, pp. 23-25, Jan 1997. 27 C. Morlet, M. Boucheret, and I. Buret, “Low complexity Carrier-phase estimator suited to on-board implementation,” IEEE Trans. Commun., vol. 48, No. 9, pp.1451-1454, Sept 2000. 28 Marco Luise and R. Reggiannini, “Carrier frequency recovery in all-digital modems for burst-mode transmissions,” IEEE Trans. Commun., vol. 43, no. 2/2/4, pp. 1169-1178, Apr 1995. 29 M. Hebley, “The effect of diversity on a burst-mode carrier frequency estimator in the frequencyselective multipath channel,” IEEE Trans. Commun., vol. 46, No. 4, pp. 553-559, Apr 1998. 30 Jiang, W. Ting, F. Verahami, R. Richmond, and J. Baras, “A carrier frequency estimation method of MPSK signals and its systolic VLSI implementation,” NASA publication, www.isr.umd.edu/CSHCN/ 31 Andrew Viterbi and Audrey Viterbi, “Nonlinear estimation of PSK-modulated carrier phase with application to burst digital transmission,” IEEE Trans. Commun., vol. IT-29, No. 4, pp. 543-551, Jul 1983. 32 Estathiou, and H. Aghvami, “Preamble-less non-decision aided (NDA) feedforward synchronization techniques for 16-QAM TDMA demodulators,” Proceedings of ICC, 1998, pp. 1090-1094. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

76

[email protected]

33 Nelson R. Sollenberger and Justin C. Chang, “Low-overhead symbol timing carrier recovery for TDMA portable radio systems,” IEEE Trans. Commun., vol. 38, No. 10, pp. 1886-1892, Sept 1984. 34 R. Gaudenzi, and V. Vanghi, “All-digital carrier phase and clock timing recovery for 8PSK,” Proceedings of Globecomm, pp. 12.3.1-12.3.5, Jan 1991. 35 K. Cartwright, “Fourth power phase estimation with alternative two-dimensional odd-bit constellations,” IEEE Commun. Letters, pp. 199-201, Jun 2000. 36 Jonghe, Marc Moeneclaey, “Optimal averaging filter length of the Viterbi & Viterbi carrier synchronizer for a given frequency offset,”Proceedings of Globecomm, 1994, pp. 1363-1368. 37 Daffara, and J. Lamour, “Comparison between digital phase recovery techniques in the presence of a frequency shift,” Proceedings of ICC, pp. 493-497, Feb 1994. 38 M. Morelli, A. D’Andrea, and Mengali, “Frequency ambiguity resolution in OFDM systems,” IEEE Commun. Lett., vol. 4, No. 4, pp. 134-136, Apr 2000. 39 Geert de Jonghe and marc Moeneclaey, “Cycle slipping behavior of NDA feedforward carrier synchronization for time-varying frequency-nonselective fading channels,” Proceedings of GLOBECOM-1995, pp. 350-354. 40 R. Reggiannini, “A fundamental lower bound to the performance of phase estimators over Ricianfading channels,” IEEE Trans. Commun. vol. 45, No. 7, pp. 775-778, July 1997.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

77

[email protected]

Chapter 8 Carrier Acquisition and Carrier Tracking for Burst TDMA Satellite and Mobile Radio Receivers In this chapter, we present various burst type synchronization algorithms. These are intended for use with satellite or land mobile radios. They include modeling, analysis, and the simulation results of preamble-less and preamble assisted carrier synchronization algorithms for burst signals subject to additive white Gaussian noise (AWGN) and severe Doppler frequency shifts that are relatively large compared to the data rate being received. The chapter details conventional feedback carrier recovery systems that rely on preamble or a training sequence and non data-aided algorithm that require no preamble.

8.1 Preamble-based Carrier Recovery Techniques for Satellite Receivers

In TDMA, multiple users share the same channel by using the bandwidth for discrete intervals of time slots. Only one user can access the channel at any instant in time. A network controller for each user frame assigns time slots. Each user terminal has a unique carrier phase and frequency offset resulting in unpredictable carrier changes from message to message. Currently, to aid acquisition, each message or frame has a preamble or training sequence. The preamble is transmitted as the initial part of each communications burst. The preamble typically provides information necessary for signal acquisition and synchronization, coding information, a unique word that is associated with each individual receiver used for phase ambiguity resolution. In addition, the preamble has a field that indicates the data coding rate being sent and the modulation type. The preamble format usually consists of a continuous wave (CW) followed by a dot pattern (alternating or repeating sequence of 1's and 0's) as shown in Figure 8-1 [4]. Conventionally, the CW portion of the preamble is generated with constant data on both the I and Q signals (real and imaginary part of the received signal), while the dot pattern portion of the preamble consists of alternating data on the I channel (I = 0 1 0 1 . . .) and an opposite alternating phase on the Q channel (Q= 101010…). The CW sequence (all 0’s) creates a CW tone that is commonly used by receivers to recover frequency offsets and tracking using some form of feedback loops, such as Costas loops. The 1-0 pattern creates a dot pattern that is also used by the receiver for bit and carrier synchronization (see data aided symbol timing algorithms discussed in Chapter 6). Since these overhead bits of the preamble reduce the signaling efficiency, it is desirable that such preamble be as Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

1

[email protected]

short as possible, yet be long enough to allow carrier synchronization with minimal final phase errors.

2

1.5

1

( )

± 00o

0.5

0

-0.5

(

-1

± 180 o

-1.5

-2 -2

-1.5

-1

-0.5

0

0.5

1

1.5

) 2

Figure 8- 1: Typical satellite burst TDMA frame. 8.2 Sources of Carrier Frequency Offset in Satellite Systems Satellite signal impairments are mostly due to the propagation channel effects and the transmitter/receiver circuitry of both the ground stations and the satellite transponder. Frequency offsets in mobile communication terminals are experienced due to factors such as oscillator frequency-uncertainty, oscillators drift, and Doppler effects arising from vehicular motion with respect to the satellite. Depending on the carrier frequency and the relative velocity between satellite and ground receiver, such frequency offsets can vary from a few hundred Hz up to several hundred kHz. Such large carrier offsets result in increased BER due to reduced receiver sensitivity and phase rotation in the received symbols. The Doppler frequency shift of the received signal is given by fd = fc

v (t ) cos(α (t )) c

(8-1)

where v (t ) is the relative velocity of the receiver terminal with respect to the satellite in meters/sec, f c is the carrier frequency in Hz, and α (t ) is the angle between the relative velocity vector and the signal propagation direction in degrees (satellite elevation angle). Figure 8-2 shows the Doppler frequency variations as a function of the angle α (t ) for a GEO system operating with f c =2 GHz, for an aircraft traveling at 1000 km/hr. The Doppler frequency is minimum (at α = 90o) when the satellite is passing overhead, and is a maximum ( f d = ± 1800 Hz) when the satellite is over the horizon (at α = 0o and 180o). LEO satellites are located at heights of 10,000 to 20,000 km above the Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

2

[email protected]

equator [6], and have a relative velocity of 1500 m/s. If operating at 2 GHz, the Doppler shifts ( f d ) can be as high as 10 kHz, and the Doppler frequency shifts can change by up to 250 Hz/seconds [6]. This complicates the carrier frequency offset estimation algorithm, especially when it uses long observation intervals (for typical moderate burst lengths). It is required that such algorithms account for this continuous change in frequency. Contrary to LEOs, GEO satellites are located at height of 36,000 km and do not have any relative motion relative to a fixed ground terminal. However, due to vehicle velocities up to 100 km/hr, the ground mobile receivers may experience Doppler shifts of up to 138 Hz for L-band signals. For aircraft traveling at speeds of 1000 km/hr, the Doppler frequency shift can be as high as 1800 Hz for 2 GHz systems as shown in Figure 8-2. 2000 GEO- 2 GHz, Aircraft speed at 1000km/hr

1500 1000

Doppler in Hz

overhead

500 0 -500 -1000 -1500 -2000 0

20

40

60

80

100 angle

120

140

160

180

Figure 8- 2: GEO Satellite-to-aircraft Doppler Profiles at 2 GHz. In addition to Doppler shifts, frequency offsets are also introduced by the tolerances of the local oscillator frequencies in the receiver terminal as well as the satellite transponder translator. For instance, if the tolerance of the receiver local oscillator is specified at 0.2 PPM for a carrier at 2 GHz, the introduced frequency offset will be 400 Hz. More information on frequency offset system distribution and analysis techniques for multiple access satellite receivers can be found in [7]. 8.3 Impact of Satellite Channel on Carrier Recovery The effect of received signal level on phase estimates was analyzed in Chapter 7, which predicted the minimum achievable variance of phase error estimates for Rician, Rayleigh and AWGN channels per (7-80). With satellite receivers, signal variations are caused by shadowing, multipath fading, and space attenuation, which impact the carrier recovery process. Here we briefly summarize the most important aspects of satellite channel effects that may impact the carrier recovery operation. Land Mobile Satellite Receivers: Typically most mobile satellite terminals on the ground can experience up to 20-dB of signal level variation. The degree of fade at any particular location on earth depends on the surrounding geography and the satellite elevation angle. Shadow fading can make the signal vary by as much as 13-20 dB. For UHF bands, fading due to mountains can vary between 2 to 5 dB at elevation of 45°, and 2 to 8 dB at an elevation of 30°. Fades due to roadside Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

3

[email protected]

tree effect have an exponential statistical distribution, which achieves 3 dB, 2 dB, and 1 dB values with probabilities of 1%, 10% and 40%.

Most mobile satellite receivers, regardless where they operate, have an average duration of fade (AFD) of 40-50 msec with the worst duration of fade of 300 msec. To illustrate the impact on carrier synchronization, consider the example of the 10,000 sps system with AFD is 40-50 msec where fade duration extends over 500 symbols of the received signal. To retain good phase or frequency estimates, the observation interval must be several times greater than the AFD of 500 symbols, otherwise some anti-fading techniques or diversity combining schemes will have to be used [8]. Airborne Satellite Receivers: For aircraft moving at typical velocities of 1000 km/hr, signal reception is dominated by the diffused signal that results from reflections off the earth surface below. Depending on the earth surface roughness, these reflections can have large spread delay relative to the direct path, resulting in a very large ISI distortion. Hence the channel can be modeled as a frequency selective Rician type channel with typical Rician factors of 10-15 dB and a fading bandwidth of 30-100 Hz. Maritime Mobile Receivers: For Maritime receivers, most of the received signal is due to multipath reflections. The calm sea acts like a mirror, resulting in large coherent radio path at the receiver. A non-negligible Rayleigh type signal will also be present due to the independent distribution of points at sea surface. So maritime channels are considered a Rician channel, with typical Rician factors around 7 dB and a fading bandwidth of 1 Hz. A particular problem occurs on shipswhere shadowing can take place by the ship structure that is usually circumvented by the use of Quad diversity combiners [8].

8.4 Conventional Burst Satellite Carrier Acquisition and Tracking In continuous TDMA satellite modems such as home satellite receivers, or news gathering mobile terminals, the user can afford to wait a few seconds for initialization during which the receiver goes through an acquisition and phase tracking process using slow narrow band PLL or Costas loop systems. In contrast, with burst satellite modems, the user data burst consumes only a fraction of the overall time frame ( < 20%); hence long acquisition times contribute an unacceptable level of overhead to the system and substantially reduce the transmission capacity. Thus, burst modems require a special acquisition process that will quickly estimate the carrier frequency offsets and phase to perform corrections. Their narrow capture range that is proportional to the loop bandwidth used also limits the use of PLL or Costas loop. The CW-Costas loop can only be used during the CW portion of the preamble shown in Figure 8-1. To extend the operation of feedback carrier recovery loops to acquire and track the received carrier in the presence of modulations during the message portion of the burst, the conventional Costas loop has to be modified. Such loops derive phase/frequency error signal based on the transition and data decision of both I and Q channels. The decision aided phase/frequency error is sometimes called polarity type error detector as opposed to the conventional ‘sine’ phase detector that is used in CW unmodulated systems. Consider the received complex signal input into the quadrature demodulator given by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

4

[email protected]

s r (t ) = I (t ) cos(2πf r t + θ r ) − Q(t ) cos(2πf r t + θ r )

(8-2)

where f r and θ r are the frequency and phase associated with the received signal. This signal is downconverted by mixing with a free running complex local oscillator (CNCO) given by

y L (t ) = cos(2πf L t + θ L ) − cos(2πf L t + θ L )

(8-3)

As a result, the baseband in-phase and quadrature phase samples produced are given by,

y I (k ) = 1. cos(2π∆fkT + θ )

(8-4)

y Q ( k ) = 1. sin( 2π∆fkT + θ )

(8-5)

and

where ∆f = f L − f r is the carrier frequency offset and θ = θ L − θ r is the carrier phase error. In order to perform correct data detection, the receiver must estimate and remove ∆f and θ from the received signal. To do this, the receiver generates an error signal proportional to the magnitude of ∆f and θ . Unlike the FF algorithms developed in Chapter 7, this error signal is not equal to the final offset (immediate estimate); instead the feedback error signal is used to steer a freely running CNCO. One common method used in satellite receivers is to acquire the carrier (frequency offset estimation) during the CW portion of the preamble and then carrier tracking (phase estimation) during the rest of the burst that extends over the UW and the transmitted message. 8.4.1

Frequency Offset Error detector

During initial stages of receiver operation, the incoming carrier in (8-2) is received with a unknown frequency offset; hence phase tracking or data detection cannot be performed unless the frequency offset ∆f is removed first. This frequency offset causes power drops in the MF output, and large unprecedented symbol rotation. To acquire and lock the local oscillator signal in (8-3) to the incoming carrier, a frequency offset signal detector in conjunction with a closed correction loop is used to sense the amount and direction of this offset. The process of rapid frequency estimate and correction is known as automatic frequency control (AFC). The loop uses a frequency error detector to bring the initial frequency error ∆f close to zero or to a small offset value that is tolerable by the decoding section. AFC loops alone will have a phase error that randomly walks around as AWGN noise perturbs it. Since the AFC loop is not responsive to phase at all, there is no coherent phase reference being produced. Frequency error signals proportional to ∆f can be derived in a fashion similar to the estimators used in Figure 8-3. The error is generated by correlating the received MF sample z (k ) and the conjugate of the previous MF sample z ∗ (k − 1) , where the frequency-offset error is given by

z k z k∗ −1 = [I k I k −1 + Q k Q k −1 ] + j [Q k I k −1 − Q k −1 I k ] 1442443 1442443

{

Re z k z k∗ −1

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

}

{

Im z k z k∗ −1

}

(8-6)

5

[email protected]

Re{x}

Ik

x -

z −1

+

x

Qk I k −1 − Qk −1I k

z −1 z (k ) exp[− j 2πkTfCNCO + jθ k ]

Im{x}

x Qk

Re{x}

+

Ik

e∆fˆ

x z −1 z

+

−1

Im{x}

I k I k −1 + Qk −1Qk

x Qk

Figure 8- 3: Frequency Error Detector for CW Signal. The error detector in (8-6) assumes a CW MF signal, so this error signal is valid to operate only during the CW portion of the preamble in Figure 8-1. If the frequency-offset recovery is extended over portions of the preamble with data modulations, an M-power nonlinearity can be used to remove modulations and produce a CW signal with nominal frequency of M∆f . Hence, (8-6) produces an initial frequency offset error signal proportional to M folds of the actual offset ∆f . Once this offset is estimated and the incoming signal is corrected, phase tracking can start using another phase detector similar to Figure 3-30. 8.4.2

Phase Error Detector

After carrier frequency offset detection using (8-6) and correction, the normalized complex MF signal can now be represented by an in-phase sample of I k = cos(θ k ) and a quadrature phase sample of Q k = sin(θ k ) , where θ is the phase error to be tracked. If carrier phase tracking is performed during the CW portion of the preamble as in Figure 8-1, the phase error θ k → 0 , hence θ k ≈ sin(θ k ) and cos(θ k ) = 1 . Using the small angle approximation, the error is then θ k = Im{z ( k )} . However, these results will not hold if the recovery process is carried out over samples that contain data modulations. For instance, with BPSK, the received MF samples are either the pair of { I k = cos(θ k + 0 0 ) , Q k = sin(θ k + 0 0 ) } or the pair of { I k = cos(θ k + 180 0 ) for Binary ‘1’, and then Q k = sin(θ k + 180 0 ) } for Binary ‘0’. Using the assumption that θ k → 0 , the carrier phase error

signal for modulated BPSK is given by θ k = Q k for the first pair and θ k = −Q k for the second pair. Clearly the negative sign of the error is coupled with the sign of the I channel. Therefore, the sign of the I channel can be used to generalize a formula for the carrier phase error associated with BPSK modulated signals. That is

⎧+ Q k eθ , k = ⎨ ⎩− Q k

I >0

(8-7)

I 1 and that R(τ ) ≈ 1 in (9-4) and (9-5), meaning that the loop is in tracking mode. Assume that the probability of detection when a valid signal is present is given by

PD = Q(α )

(9-10)

where Q( x ) is the complement cumulative Gaussian distribution, and α is defined in (9-12) . Using the same approximation technique, the probability of false alarm is given by Pfa = Q (β )

(9-11)

where

β − γ NB

α=

(9-12)

1 + 2γ

and

⎛ T



β = N B ⎜⎜ η − 1⎟⎟ ⎝ No ⎠ where SNR value γ =

(9-13)

A2 2 T , A 2 2 is the power of the received carrier, and N o is the single-sided No

noise spectral density. The acquisition loop design is based on using a specific PD and Pfa (9-9) and (9-10) at a specific desired signal-to-noise ratio to obtain the values of α and β . Optimal threshold η is then found using the following equations,

η=

⎤ No ⎡ βγ ⎢1 + ⎥ T ⎣⎢ β − α 1 + 2γ ⎥⎦

(9-14)

And the block length is given by ⎡ β − α 1 + 2γ ⎤ NB = ⎢ ⎥ γ ⎥⎦ ⎣⎢

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

2

(9-15)

[email protected]

As an example, with desired Pfa = 10 −4 , the value of α = −1.7 and with a desired probability of detection PD = 0.95 the value of α = −1.7 is calculated. Then using equations (9-12) through (9-15), the required signal to noise ratio has to be at least γ = −2dB and the minimum averaging length N B has to be at least N B =100 symbols. 9.4 PN-Code Tracking algorithm

Typically there are two structural options for code tracking: the delay locked loop (DDL) presented earlier in Figure 9-2 and Figure 9-3, which will be detailed in the next section [3]. In principle, the operation of PN tracking loops is similar to that of a classical Costas loop used for carrier phase tracking. Figure 9-4 illustrates the block diagram of the DLL scheme. The PN synchronization timing error signal is generated by taking the difference between the outputs of the two envelope detectors. The error signal is then filtered using the loop filter and subsequently used to adjust the code generator NCO to closely align the de-spreading code with the original spreading code.

on time PN Generator

early late

LF Tracking

e(τ )

(.)2

BPF

+ RF-RX

(.) 2

BPF

Figure 9- 4: Pseudo-noise Tracking System.

+1

δ=

T 2

e(τ )

-1 -2

-1.5

-1

-0.5

0

0.5

1

1.5

2

+1

δ =T

e(τ )

-1 -2

-1.5

-1

-0.5

0

0.5

1

1.5

2

+1

δ = 2T

e(τ )

-1 -2

-1.5

-1

-0.5

0

0.5

1

1.5

2

T time (normalized by T)

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

Figure 9- 5: Ideal Error Detector for Half Chip Advance and Delay Offset.

In Figure 9-4 and 9-5, the PN code timing error detections obtained using the Early-Late gate timing loop discussed in Chapter 4. This is based on using two offset PN sequences, one is late (delayed) and one is early (advanced) both by fractions of a chip period T . These offset codes are separately multiplied with the input signal from the receiver as shown in Figure 9-4. The multiplied outputs are then passed through bandpass filter and envelope detector (square law detector). The difference between the resultant outputs e(τ ) is then used as a correction signal, which is subsequently filtered using a loop filter whose output is then used to adjust the reference code timing. The normalized error signal e(τ ) is given by

e(τ ) = Rc (τ + δ

) − Rc (τ − δ )

(9-16)

Where δ = Tc 2 is the delay used (lead lag value). The value of δ = Tc 2 is very often used. The value of Rc (τ + T 2 ) is the output of the crosscorrelation and is given by T

1 Rc (τ − δ ) = ∫ c(t )c(t + τ )dt Tc 0

(9-17)

The value of c (t ) is the pseudnoise spreading code. Figure 9-5 shows the error signal as a function of chip time offset. It is observed that the error signal is an even function and symmetrical, which is an ideal condition for loop steering operation. The process of cross-correlation to the error signal that is then used to control the delay of the locally generated PN sequence continues until the locally generated PN code correlation with the input signal is maximized, at which point the local PN code is accurately aligned with that used at the transmitter. In Figure 9-5 note that there is a tradeoff between the accuracy and range of the error detector that depends on the timing δ lag offset used in (9-16)

For the case of coherent BPSK PN-receivers, if the carrier is tracked prior, PN tracking needs only the inphase output of the Early-Late correlator. This error is given by ek =

1 (I E − I L )dˆ 2

(9-18)

where the I E and I L are obtained from the correlations over a full data symbol period using the scheme as shown in Figure 9-6, and dˆ is the modulated BPSK data polarity, where dˆ = sign{I } .

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

Late-sample

On-time sample

Early -sample

IL

IE t =0 t = +T t = −T Figure 9- 6: Pseudo-noise DLL Principle Showing the Loop While Sampling Too Fast.

The error signal in (9-18) can be modified for the case of quasi-coherent BPSK DSS receivers. Instead of feeding back the data decision, both I and Q samples are used to compute the error signal. That is ek =

(

)

(

1 2 1 I E − Q E2 − I L2 − Q L2 2 2

)

(9-19)

During fading, the error detector in (9-18) and (9-19) both degrade due to multipath presence. The impact of multipath can be very severe and often leads to a false lock. The additional signal paths propagating with a significant spread delay result in local maximums that may cause the DLL to false lock as shown in Figure 9-7. One way to improve the performance correlation of a timing detector in the presence of fading is by averaging the late and early correlations over multiple samples per symbol. That is ek =

1 2N

∑ ⎢⎣(I N



k =1

2 E ,k

)

− Q E2 , k −

(

)

1 2 ⎤ I L ,k − Q L2,k ⎥ 2 ⎦

(9-20)

where N is the over sampling factor and the subscript k is the sample index [1,2,3,4,12] . +1 Due to delayed path t = −Tc

t=−

Tc 2

τ t=+

Tc 2

t = +Tc

−1 Figure 9- 7: Error Signal in the Presence of Two Paths. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

Based on (9-20), Figure 9-8 illustrates the timing error detector used to implement a DLL in conjunction with carrier tracking system. The carrier tracking loop operates on the “on time” version of the despread signal, right after the DLL has acquired the signal. Notice that the carrier error signal is also incorporated in the DLL correction since the carrier offset is related to the PN code by the phase shift, which is a large time offset .As a result, the carrier offset error being incorporated into the DLL helps to accelerate PN code tracking. Figure 9-9 illustrates the combination of PN code acquisition, PN code tracking, and Costas loop for carrier tracking, where all three synchronization loops are implemented digitally. The down conversion is the multiplication free digital down conversion scheme described in Chapter 1. Figure 9-10 shows a linear tracking model for the DLL loop. The loop bandwidth and the tracking error variances of the systems shown in Figure 9-8 and 9-9 are designed based on the model in Figure 9-10 using the analytical methods derived in Chapter 3 and Chapter 4. The loop dynamics that can be derived based on the closed loop transfer is given by Gc ( z ) =

z −1 z − 1 + K L K EL K NCO

(9-21)

where K L is the multiplication of loop gain factor, K NCO is the NCO gain, and K EL is the Early-Late gate timing discriminator gain derived from the S-curve as follows.

τ

+

KEL

KL

τˆ K NCO 1 − z −1

Figure 9- 8: Linearized Loop Model for the PN Tracking System.

The timing error detector gain K EL is derived from the linear region of the E-L detector, shown here in Figure 9-11, for a half chip offset δ = Tc / 2 . In order to express the interval in the number of symbols periods and not chip periods, a division by the symbol period Ts is required. Based on this and using Figure 9-11, the E-L gain is given by S (T / 4) − S (−T / 4) (9-22) (T / 2) / Ts where N = T / Ts is the number of chips per symbol. Substituting this into (9-22), the PN code timing error detector gain is given by K EL =

K EL = Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

S (T / 4) − S (−T / 4) 1/ 2N

(9-23)

[email protected]

τ =0 τ =−

T 2

τ=

T 2

Linear region

τ =−

T 4

τ=

T 4

Figure 9- 9: Computations of the Early-Late Detector Gain Using the S-curve.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

Carrier Recovery

IE I OT

IL QE

s (kT )

ID ID ID

N B −1

∑ (I k =0

ID

2 E

N B −1

) ∑ (I

+ QE2 −

k =0

2 E

+ QE2

)

NCO QOT

QL

Early

On Time

ID ID

Late DDL-LF

PN Code Generator CR-LF

Figure 9- 10: Combined Pseudo-noise Code and Carrier Tracking System

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

Loop Filter

VCXO

Demodulated data



Narrow Band BPSK Demodulator

Nfs



η

()

2

+

1,-1,1…



PN Code Generator

( )2

Early PN

A/D

T



s(kT )

τ = −T

corrections NCO

Late PN

2Nfs

On time PN

On time PN

τ =0 τ =T

τ = −T 2



τ =T 2

( )2 τ =0

s(kT )

+ ∑

( )2



()



( )2

+

2

fs

Loop Filter

s(kT )

+

Figure 9- 11:Block Diagram of a Combined Carrier Recovery, PN code Acquisition, and Feedback Tracking System.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

9.5 Tau-Dither PN Tracking Loop

Another implementation of PN tracking is known as the Tau-Dither loop. As mentioned before, this is one way of reducing the hardware and software complexity of the DDL system [3] shown in Figure 9-12 by multiplexing the use of some of the common parts of the loop as shown in Figure 9-10. The loop uses only a single channel to perform the advance and delayed correlation. This arrangement generates the same code offsets as that generated using the DLL; however, only one multiplication branch is used at a time periodically alternating between the advanced and delayed versions of the generated PN code. The rate at which the branch is multiplexed is usually at half chip period and the multiplexing is usually achieved by means of gating the signal with a +/-1 sequence. This multiplexing process not only alternates the early and late versions of the local PN code, but also supplies the correct sense direction of the error. This is carried out using the following algorithm,

f (t ) = g (t )c(t − T 2) + [1 − g (t )]c(t + T 2)

(9-24)

depending on the gating function g (t ) , (9-24) is given by, ⎧c (t − T 2 ) , g (t ) = 1 f (t ) = ⎨ ⎩c (t + T 2 ) , g (t ) = 0

(9-25)

Depending on the value of g (t ) being one or zero, the loop error signal alternates between an error caused by either the advanced PN code or the retarded PN code.After performing cross correlation, the output of the envelope detector in Figure 9-12 is given by

e(τ ) = g (t ) Rc (τ + T 2) + (1 − g (t ) ) Rc (τ − T 2)

(9-26)

This error signal is then passed through the loop filter and gated by g (t ) to create the alternating early and late versions of the local PN code. The appropriate polarity associated with the PN code cross correlation with the incoming signal is carried out by multiplying the output of the envelope detector with the function 2 g (t ) − 1 as shown in Figure 9-12, which converts the gating sequence from 101…. to 1-11. The final error signal becomes, e(τ ) =

1 ( Rc (τ + T 2) − Rc (τ − T 2) ) 2

(9-27)

which is the same error signal obtained by the DLL shown in Figure 9-4.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

g (t )

late PN Generator

early f (t )

Tracking Loop BPF

RF-RX

LF

e(τ )

(.)2

s (t )

2 g (t ) − 1 Figure 9- 12: Tau-Dither Loop System

9.6 Feedforward PN Code Synchronization Algorithm

The Feedforward PN code acquisition and tracking scheme have also been used. Figure 9-13 illustrates one such system that utilizes the maximum likelihood estimation method to estimate and correct PN code timing offsets. Both the acquisition (coarse) and the tracking process (fine estimates) are using feedforward schemes. Assuming that the received signal in Figure 9-13 is down converted and then sampled at a rate of f s = 2 Rc , or two samples per chip, the digitized signal is then given by r ( k ) = s ( kTs + τ )e − j (2πkTs ∆f +θ )

(9-28)

The time delay τ is the unknown PN time code delay of the received signal, where, θ and ∆f is the carrier phase and frequency offset, s (k ) is the sample of the complex baseband signal given by s (t ) = 2 Eb d (t )c(t )

(9-29)

The estimation of both PN code delay and the carrier using feedforward is obtained as follows. First blocks of signal samples are stored in memory while a replica of it is passed to a coarse maximum likelihood (ML) estimator to estimate both PN code delay and frequency offset. Both estimates are then used to frequency correct and then despread the stored sample block. Also, the configuration of the coarse acquisition enclosed in the dashed box shown in Figure 9-13 is based on maximum likelihood estimation [3]. The received signal block stored in memory is multiplied by the local PN Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

code, each with different delay, iTc , and then the power spectrum of each branch is estimated using FFT. That is X ik (l) =

lN + ( N −1)

∑ r (n)c(n − iT )e

2 − j ( 2πkf o nTs )

, l = 0,1,2,......

n = lN

(9-30)

The PN code delay and frequency offset are then estimated using a trial set that simultaneously maximizes the value of X ik . After taking the FFT of each branch, the branch power is accumulated over an observation interval, yielding Z ik (l) =

l=M

∑X l =0

ik

(l )

(9-31)

The largest value of Z ik in (9-31) is selected, which correspond to the branch that has the coarse estimates of iˆTc = Tc + τˆ and kˆf o = ∆fˆ . The number of FFT bins is selected by

Tb (9-32) Ts After being corrected by the coarse estimates, the despread output is then integrated and dumped at every bit period, iTb . As a result of the coarse rough estimation, the baseband signal still has a fine carrier phase and frequency offset that has to be estimated. The resulting despread signal, including these fine offsets, is given by N≤

sin (π∆f 2Tb ) − j ⎜⎜⎝ 2πTb ∆f 2 ⎜⎝ i − 2 ⎟⎠ +θ 2 ⎟⎟⎠ R (i ) = Eb di e π∆f 2Tb ⎛



1⎞



(9-33)

where d i is the transmitted data, and ∆f 2 = ∆f − ∆fˆ is the transmitter-receiver frequency offset .Both offsets are now passed to a feedforward phase and frequency estimation algorithms such as those detailed in Chapter 7. For MPSK data modulations, the frequency offset can be estimated by ∆fˆ2 =

(

1 arg ∑ R (i ) R ∗ (i − 1) 2πTb

)

M

(9-34)

and once the signal is corrected, the phase can also be estimated by

(

)

1 ˆ M arg ∑ R (i )e − j 2π∆f 2i 2πTb which is then used to correct the signal as shown in Figure 9-13.

θˆ2 =

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

(9-35)

[email protected]

c(n) FFT



FFT



c(n − T )

LPF

A/D

Frequency synthesizer

memory

c( n − 2T )

X ik

Select largest branch



FFT

Frequency offset estimates

PN code Timing estimates



FFT c( n − iT )

e

− j 2π∆fˆkT

t = iTb + Tb

c ( n − τˆ)

correction



Data decoding

∆fˆ2 θˆ2 Fine Frequency estimator

Figure 9- 13: DS-SS Carrier Frequency and PN Code Synchronization.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

9.7 Pilot-aided PN Code Synchronization Algorithm

Very often, a pilot channel with a common PN code is transmitted and shared between the multi-users for control and synchronization, such as with a IS-95 system [1]. This pilot channel is usually transmitted at higher power to mitigate fading and increase the probability of detecting and tracking the PN code, as is the case with the IS-95 cellular system. Figure 9-14 illustrates a pilot-aided PN synchronization system. At the output of the down converter, the received IF signal rIF (t ) is pilot modulated and given by

r (t ) = I (t ) cos(2πf IF t + θ ) + jQ(t ) sin(2πf IF t + θ )

(9-36)

Ignoring interference, the pilot quadrature modulations in (9-36) are given by I (t ) = a o PI (t )

(9-37)

Q (t ) = a o PQ (t )

(9-38)

and

where ao is the channel gain, and PI (t ) and PI (t ) are the pilot quadrature symbols. The down converted sampled IF signal is then given by r (kT ) = rIF (kT ) cos(2πf NCO kT ) + jrIF (kT ) sin( 2πf NCO kT )

(9-39)

sampling the IF signal at a rate that is 4-times, f s = 4 f IF , the down converted signal is given by 2πf k 2πf k r (kT ) = rIF (kT ) cos( IF ) + jrIF (kT ) sin( IF ) (9-40) 4 f IF 4 f IF After cross correlating (9-40) with the locally generated PN signal, the inphase signal is given by

y I (kT ) =

NN corr −1

∑ k =0

πk ⎤ ⎡ ⎢rIF (kT ) cos( 2 ) PI (k + n0 )⎥ + j ⎦ ⎣

NN corr −1

∑ k =0

πk ⎤ ⎡ ⎢rIF (kT ) cos( 2 ) PQ (k + n0 )⎥ ⎦ ⎣ (9-41)

and the quadrature branch is given by

y Q (kT ) =

NN corr −1

∑ k =0

πk ⎡ ⎤ ⎢⎣rIF (kT ) sin( 2 ) PI (k + n0 )⎥⎦ + j

NN corr −1

∑ k =0

πk ⎡ ⎤ ⎢⎣rIF (kT ) sin( 2 ) PQ (k + n0 )⎥⎦ (9-42)

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

Where N is the number of samples per chip and Ncorr is the total symbols over which the correlation is performed. Simplifying both (9-41) and (9-42) results in the following quadrature signals, NN corr −1 NN corr −1 a0 a0 y I (kT ) = cos θ ∑ [PI (k ) PI (k + n0 )] + j sin θ ∑ PQ (k ) PQ (k + n0 ) 2 2 k =0 k =0 (9-43) and for the quadrature path,

[

]

NN corr −1 NN corr −1 a0 a0 y Q (kT ) = − sin θ ∑ [PI (k ) PI (k + n0 )] + j cos θ ∑ PQ (k ) PQ (k + n0 ) 2 2 k =0 k =0 (9-44)

[

]

where the term cos θ , and sin θ resulted from carrier offset. Taking the magnitude of each channel and then summing to generate the envelope of the correlation process, will cancel the carrier phase terms due to the fact that cos 2 θ + sin 2 θ = 1 . That is

⎛a y (kT ) = ⎜⎜ 0 ⎝ 2

2

⎞ ⎛ [PI (k ) PI (k + n0 )]⎟⎟ + ⎜⎜ a0 ∑ k =0 ⎠ ⎝ 2

NN corr −1

⎞ PQ (k ) PQ (k + n0 ) ⎟⎟ ⎠

NN corr −1

∑[ k =0

]

2

(9-45) Now by systematically changing the phase of the locally generated pilot signal (scanning) in the pilot-aided closed loop of Figure 9-14, the receiver will eventually adjust the shift n0 so that the local pilot replica is very close in phase to the actual transmitted pilot signal and thus code alignment is achieved. Since carrier phase and carrier frequency offsets are also present in both branches of the system in Figure 9-14, carrier phase and carrier frequency synchronization can also be achieved using the pilot symbols using the conventional loops discussed early in Chapters 4 and Chapter 5.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

NN corr



x

k =0

cos(2πf IF kT + θˆ)

PI (kT )

rIF (kT )

NCO

+

PILOT Generator

− sin( 2πf IF kT + θˆ)

PQ (kT ) NN corr



x

k =0

Loop Filter

Figure 9- 14: Pilot-aided Pseudo- noise Code Synchronization System.

9.8 Decision-Directed PN Code Synchronization Algorithm

The non-coherent delay locked loops discussed previously are commonly used. However these loops suffer from increased tracking jitter due to the noise enhancement as a result of the use of the square law detector used to obtain the envelope from the crosscorrelation between the locally generated Early-Late PN code and the received signal. The Decision-directed coherent PN code synchronization scheme, however, circumvents the use of the square law detectors and, as a result, there is no noise enhancement and the scheme can superseded the DLL performance by 3dB in noise performance. Figure 9-15 shows one such system, where the data decisions are used to remove the modulations (as an alternative to squaring). The disadvantage of this algorithm however is that it requires accurate estimation of the received carrier phase. The system in Figure 5-19 works as follows. The complex spread signal is first crosscorrelated with an advanced and a retarded PN code replicas, given by c(t − τ + ∆T ) and c(t − τ − ∆T ) respectively. The output of the correlation is then integrated and dumped. Both early and late branches are then subtracted to form the chip timing error signal which contains data modulations and carrier phase error [11]. Thus, the timing error signal is given by

1 εi = T

( i +1)T

∫ r (t )[c(t − τ + ∆T ) − c(t − τ + ∆T )]dt

(9-46)

iT

This error signal is then reverse-modulated using the detected data dˆi and the carrier estimated rotations e − jθ . The error signal (9-46) contains the timing error measure, but ˆ

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

obtained without the square law detector, that causes of 3dB noise enhancement (?). This error signal is then used in conjunction with a feedback loop filter to control the epoch timing of the NCO that clocks the PN code generator.

ID/filter

c (t − τ − ∆T )

r(t )

+

ek

ID/filter

c(t − τ + ∆ T )

NCO

Re{ }

LP F

dˆ ∗ Carrier recovery

θˆ

RX

Re ( {)∗ }

e− jθ

ˆ

dˆ Received data

Figure 9- 15: Decision-directed Coherent DLL.

9.9 Frequency-Hopped Speared Spectrum Synchronization

Frequency-hopped spread spectrum is the other major type of spread spectrum system in Use., Here the signal itself is not spread across the entire large bandwidth like the DS-SS methods in Figure 9-1 but instead, the wide bandwidth is divided into N sub-bands. The signal “hops” from one band to the next based on a pseudorandom sequence, so the center frequency of the signal changes from one hop to the next, as shown in Figure 9-16. The frequency-hopping scheme is implemented using direct digital synthesizers (DDS) which have the capability of switching very rapidly while producing accurate frequencies. Figure 9- 17 illustrates one such hopped frequency modulator implementation. Here the fast frequency hopping and generation is obtained using Direct-Digital Synthesizer (DDS). In this particular example, the frequency-hopping band is 22-44 Mhz (22Mhz bandwidth). This frequency hopping is then up converted to the final transmitter carrier frequency using a quadrature mixer. The hopping at lower frequency is able to use direct modulations using a quadrature DDS signal because it Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

voids the difficulties of building a DDS at very high frequencies. In this example, the final output of the transmitter is a FH-SS operating in the ISM band of 902-928 Mhz.

Frequency - Hz

Figure 9- 16: Spectrum of Frequency Hopped Spread Spectrum Signal.

Quadrature Up converter

F=902-928Mhz

Quadrature DDS & DAC

PA

Filter

Filter

0o

90o

Hopping: F=22-48

Mhz

Carrier Oscillator

F=880Mhz Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

Figure 9- 17: Frequency Hopping Pseudo-noise Synchronization.

Figure 9-18 illustrates the receiver topology used for receiving and synchronizing the FHSS transmitter in Figure 9-17. To obtain an un-hopped signal (fast or slow), the received signal from the transmitter in Figure 9-17 (902-928 Mhz) is down converted first to an IF signal that uses a hopped local oscillator with frequency of 817-843 Mhz. The IF signal is then down converted again from IF to zero IF (baseband) using the quadrature DDS as a local oscillator. Both baseband quadrature channels are then digitized and then processed digitally. The synchronization parameters are then estimated numerically producing a correction signal that is fed to the DDS that de-hops the first local oscillator. F=902 -928M hz LPF

ADC

LNA

Preselection Filter

IF Filter

IR Filter

LPF

Filter

0 Quadrature Up converter

o

ADC

Baseband Digital Signal Processing

90 o

IF Oscillator

Quadrature DDS & DAC

Hopped LO

F=817 -843 M hz

0o

90 o

Carrier Oscillator

F=853.8M hz

Figure 9- 18 : Frequency Hopping Pseudo-noise Synchronization.

Commonly, initial FH synchronization is obtained using a preamble as that shown in Figure 9-19. The preamble here is designated purposely for FH frame and PN code acquisition purposes. Even though the modulation may be M-ary FH system, often the preamble is a binary modulation (dual tone) designed to ease the process of detecting the pilot tones. The frame structure in Figure 9-19 consists of a binary pilot tone that is a constant tone +Ftone, This tone is used for obtaining the initial acquisition, and then followed by a reversal of polarity all zeros that is series of -Ftone sequence, then a unique word that is used for indicating the end of the preamble and the start of the unique word that is used for ambiguity resolution and to estimate the start of the packet data field. The acquisition starts by the receiver first tuning to receiving the long sequence of all ones, that is all +Ftone that indicates carrier present by detecting energy levels above a predetermined threshold using a narrow tuned BPF or PLL with a center frequency of +Ftone. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

After that, the reset of +Ftone is is then used to detect the transition from +Ftone to -Ftone. Once the transmission is detected, the receiver starts to correlate a local replica of the unique word with the incoming signal. Once the maximum correlation score is detected, acquisition of the frame is achieved. Pilot tone +Ftone

+Ftone - Ftone

Unique Word

Start of Message

Message

Figure 9- 19: Frame structure with preamble for synchronization of FH-SS signals. Similar to acquiring and tracking PN code in DS-SS systems. The process of acquiring and tracking in FH-SS is achieved by aligning a local hop generator with the received signal sequence in two stages,namely,FH acquisition and FH tracking. Coarse frequency synchronization (i.e., acquisition) is carried out by finding the degree of alignment between both received hopping signal and the generated local hopped sequence. Figure 920 shows one implementation of a FH-SS tracking system. The received signal here is correlated in a wideband mixer with the local hop sequence produced by the FH synthesizer driven by a PN code generator whose epoch time is controlled in accordance with the decision to continue the search operation. The higher mixing product terms are then filtered out because the IF filter is designed with a narrow bandwidth that only permits the IF and the maximum frequency offset . The signal from the IF filter is then followed by an envelope detector. The resultant envelope detector output is then accumulated (integrated) to produce a signal with a mean of zero when the received signal and the local PN code are not partially correlated (aligned). If the PN code generated locally and the received signal starts to align partially, the envelope signal will then rise and eventually exceed the threshold η , which is based on both signals being within an alignment offset of less than a half chip. The IF signal is down converted again to baseband and sampled separately, samples of the quadrature baseband signals that are processed by the subsequent demodulation algorithms result. The low pass filter after the quadrature down converter is designed, such that its bandwidth is given by BLPF ≥ 1 Th , where 1 Th is the hopping rate.

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003

[email protected]

LPF Received FH-SS signal

( )2

cos(2πf IF t )

IF Filter

Frequency synthesizer

Quadrature oscillator

+

sin( 2πf IF t )

LPF

PN generator

Epoch Control clock

( )2 z ∆ h , the received OFDM symbol evaluated on the interval [0, NTs ] in (10-8) becomes N −1

r (t ) = s (t ) * h(t ) = ∑ H k xk e j 2πf scs t , 0 < t < NTs

(10-9)

k =0

∆h

where H k = ∫ h(τ )e − j 2πf kτ dτ is the Fourier transform of h(t) evaluated at the frequency f k . Note that within 0

this interval the received signal is similar to the original signal, except that H k x k modulates the kth subcarrier instead of x k . In this way the cyclic prefix preserves the orthogonality of the subcarriers. As a result, to undo the channel effect, a simple N-parallel one-tap equalizer can be used (i.e. channel estimator at each subcarrier). This is less complex than the high order number of taps needed to equalize a wideband modulated single carrier system [3,4,5]. The cyclic prefix does, however, introduce a loss in efficiency and thus a signal-to-noise reduction. However, the SNR loss is usually negligible when compared to its effect in mitigating interference and its use for synchronization. The SNR loss [11,13] is given by Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 -7-

[email protected]

⎛ T ⎞ SNRloss = 10 log⎜⎜1 − G ⎟⎟ ⎝ Ts ⎠

(10-10)

TG is the ratio of cyclic prefix period to the overall symbol period. Therefore, a longer cyclic prefix Ts would mean a higher SNR loss. Typically, the relative length of the cyclic prefix is small and on the order of TG ≤ 20% . Ts

where

10.5

OFDM System Parameter Design In conventional TDMA systems, the channel delay spread leads to inter-symbol interference. This takes place due to the delayed multipath signal overlapping with the successive symbols, which causes significant errors in high bit rate systems. Figure 10-7 illustrates the inter-symbol interference due to delay spreads on the received OFDM signal. It illustrates the effectiveness of the guard interval in minimizing the effect of multipath fading due to four delayed versions of the transmitted symbol. The direct path and the four additional scatters arriving with different delays are summed at the receiver input; however, as the figure illustrates, there will be no ISI as long as the cycle prefix period is designed such that it is greater than the longest delayed path. This ensures that all delayed paths are still within the DFT window for a single symbol. Symbol Duration: with no ISI, only phase shift and magnitude attenuation

Direct path Multi-paths

Inter-symbol: interference is present here in the guard band

Figure 10- 7: Principal of combating fading using the guard interval in OFDM transmission.

The delay spreads that are encountered in most modern communication systems are well documented and widely known. For example with indoor communications, the delay spreads are on the order of 40nsec to 200nsec, since the reflected paths are caused by being bounced off objects with path lengths of 12 to 60 m (furniture, walls, and steel foundations). For outdoor communications, the delays are a little longer, on the Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 -8-

[email protected]

order of 1usec to 20usec. These are caused by objects at distances of 300m - 6km (hills and high buildings). Table 10-1 lists spread delays experienced by the three major cellular systems [1,13] that utilize OFDM. System Pico cell Micro cell Macro cell

Cell size 100m 5km 20km

Max Delay Spread 300ns 15us 40us

Table 10- 1: Spread delay associated with various cellular systems. The spread delay experienced in a channel is directly responsible for the maximum data rate that can be transmitted through the frequency selective channel, since it controls how narrow the channel coherence bandwidth [3] is. The channel coherence bandwidth Bc is a statistical measure of the range of frequencies over which the channel passes all spectral components with approximatly the same gain and with linear phase. The approximate value of Bc for a wireless chanel is related to the reciprocal of the dominent spread delay as seen in Figure 10-1. A popular approximation of Bc corresponds to the bandwidth interval having a correlation of at least 0.5 [1] and is given by 1 (10-11) Bc = 5σ τ where σ τ is the rms spread delay [1]. OFDM links are designed such that the high data rate impacted by frequency selective channel is divided to N channels, each of which has a symbol rate that is low enough that its subchannel bandwidth is less than the coherent bandwdth, resulting in a frequency flat faded channel. For MPSK OFDM modulations, the maximum data rate that can be transmitted in a frequency selective channel without being effected by frequency selectivity is given by 2 Rb Bc ≤ (10-12) log 2 M Using Equation (10-12) and the propagation delays listed in Table 10-1, the maximum BPSK data rate allowed before the channel becoming frequency selective is computed as follow: For Pico cell, with 100m cell size, with σ τ =300ns, the coherent Bc is 667khz, and R s , max = 330ksps . For Micro cell, with km cell size, with σ τ =15microsec, the coherent Bc is 66.7khz and R s , max = 33ksps . For Pico cell, with 100m cell size, with σ τ =40microsec, the coherent Bc is 25khz and R s , max = 12ksps . The following example illustrates the design of OFDM parameters based on the measured spread delay: •

Given that the desired transmit rate is 1.2Mbps using QPSK, the channel bandwidth is given by BW=800khz; the measured delay spread is τ rms = 40 microseconds. Using conventional OFDM modulations and based on the spread delay measured, this channel is frequency selective with nulls at 1 τ rms = 25khz , with a coherence bandwidth of 1 5τ rms = 5khz . Using OFDM to minimize the channel effect, Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 -9-

[email protected]

the subcarrier spacing (i.e., data rate) has to be f scs ≤ 5khz , which will serve as the subcarrier frequency for the OFDM modulator. With f scs = 5khz the number of subcarriers to cover the total available bandwidth is N = 800 / 5 = 160 , so the subcarriers are spaced at f scs = 800 / 160 = 5khz . The symbol duration is then Ts = 1 / f scs = 200 µ sec , choosing a guard interval that is 25% of the symbol interval, or TG = 50µ sec . The total symbol time will then be Te = 250µ sec . The data rate transmitted using QPSK (2bits/symbol) on each subcarrier is R b _ sc = (1 / Te )(2bits ) = 8kbps ; to transmit 1.2MBPS, a total of 150 subcarriers are needed. The rest of the ten subcarriers can be used for pilot or as guard bands. The European digital broadcast system (DAB) [28,30] uses the parameters: •

Ts = 64µ sec ,

BW = 7 Mhz , Rs = 5.6Mbps , N=448 subcarriers, f scs = 15.625khz , TG = 16 µ sec , thus Te = 80µ sec , R b _ sc = 30kbps using QPSK modulations. In the USA, the wireless LAN system designated by IEEE802.11a [10] uses the parameters:



BW = 20Mhz , N=48 data subcarriers and N=4 pilot subcarriers out of 64 subcarriers. f scs = 312.5khz , Ts = 3.2 µ sec , TG = 0.8µ sec , thus Te = 4µ sec Another system that has recently been advocated is the 4G cellular systems. These use the parameters:



BW = 800khz , N=192 σ τ = 2 microseconds, Ts = 240µ sec , TG = 48.5µ sec , thus Te = Ts + TG = 288.5µ sec .

data

subcarriers,

f scs = 4.17khz ,

In summery, OFDM transmission has the following key advantages over conventional transmission systems: • •



Efficient use of the spectrum by overlapping intercarriers. By dividing the wideband frequency selective channel into narrow subchannels, the modulated carriers become more resistant to frequency selective fading than a single wideband modulated carrier. By using cyclic prefix samples, the problem of ISI and multi-path is eliminated.



Using adequate channel coding (COFDM) and interleaving one can recover symbols lost due to the frequency selectivity of the channel.



Channel equalization becomes simpler than adaptive equalization techniques used with single carrier systems to overcome frequency selective fading channels.



The modem implementation is well suited for digital implementation since it uses computationally efficient FFT algorithms in both modulator and demodulator. Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 10 -

[email protected]



In conjunction with differential modulation, implementing a channel estimator is unnecessary.



Using a proper long guard interval at the start of each symbol, the impact of sample timing offsets is reduced.



The use of a cyclic prefix facilitates the use of non-data aided timing and carrier recovery algorithms. In terms of drawbacks, OFDM modulation schemes have the following disadvantages:



OFDM modulated signals have amplitudes with a very large dynamic range, namely the average-to-peak power ratio, which requires very linear RF power amplifiers and wide dynamic range analogto-digital converters.



Since orthogonality is a condition for extracting the overlapped sub-carriers with minimum inter-carrier interference (ICI), the scheme is very sensitive to carrier frequency offsets and phase noise, thus requiring accurate frequency carrier , timing recovery, and spectrally clean oscillators [25,26,27]. since frequency offset and sampling frequency errors are the main contributors to deterioration of OFDM transmission. The next sections will investigate this impact and will detail several synchronization algorithms used at the receiver.

10.6

Impact of Frequency Offset Synchronization Errors on OFDM Reception

Both carrier and symbol timing synchronization are an improtant part of sucessful OFDM receiver design. Figure 10-8 illustrates the impact of both carrier and timing errors on QPSK signal transmitted using OFDM. At first the received signal with frequency and timing offsets (left graph) is severly distorted and symbols are all over the constellation and unrcognizable for being QPSK. After sucessful frequency offset compensation (middle), the symbols are in the correct quadrant, however, due to the presense of timing erros, the symbols will experience phase rotations and attenuation in level. After timing error estimation and correction, the symbol phase rotations are removed and, as seen in the left part of Figure 10-8, are regonizable QPSK symbols that are ready to be detected [5,8,9].

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 11 -

[email protected]

recovered signal with carrier and timing offsets

OFDM recovered signal after carrier correction

OFDM signal after carrier and timing correction

Figure 10- 8: Impact of carrier frequency offset and timing offset synchronization errors on OFDM reception. Figure 10-9 illustrates a block diagram of a typical OFDM baseband receiver including synchronization. Here the quadrature digitized baseband samples (I’s and Q’s) at the output of a complex rotator are fed to a syhcronization algorithm block that extracts both carrier frequency offsets and sampling frequency offsets. The frequency offset estimates are passed to the FFT block and to the numerical controlled oscillator (NCO), where the frequency offset is removed. If the frequency offset is too large or if the estimates are out of range, the offset correections are fed to the radio frequency down converter in the analog section (dashed line) n, where it is used to offset the local oscillator used in the down converter. The sampling frequency errors are also fed to the A/D variable clock (VCXO) and the FFT block to correct its frequency. Symbol timing is estimated and passed to the FFT algorithm to mark the start of the FFT window.

I (k ) RF receiver

A/D

FFT

Q(k ) o

90

0

demodulator

o

NCO

synchronization algorithms

Figure 10- 9: OFDM carrier and timing synchronization system. In the next sections, we derive the mathematical model for synchronization error impact on the OFDM received signal by evaluating the resulting distortion and the SNR loss that takes place as a result of these errors. Then numerus schemes for both carrier and timing synchronization schemes are derived and detailed. These algorithms are categorized into acquisition and into tracking. The acquisition or coarse synchronization algorithms are either based on characteristics of the cyclic prefix interval, or on pilot aided techniques [14,1518]. To illustrate the effect of frequency carrier and sampling frequency offsets mathematically, assume that N Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 12 -

[email protected]

1 , where Ts is the useful NTs symbol period (without the guard intervals). The complex envelope of the transmitted signal in equation (103) is again given by

is the number of sinusoidal carriers (DFT bins), and that the frequency spacing is

Xn =

K 2

∑z e

j 2πkf scs nTs

(10-13)

k

K k =− 2

substituting Nf scs =

1 in (10-13), the transmitted signal is given by Ts

Xn =

K 2

∑ zk e

j

2πkn N

(10-14)

K k =− 2

and K is the number of active channels. Defining zk as the complex data symbols modulating the kth channel, equation (10-14) given by, +∞

zk = ∑ zk + rN

(10-15)

−∞

where R[k ] is given by ⎧1 ,0 ≤ k ≤ N − 1 R[k ] = ⎨ , else ⎩0

(10-16)

substituting (10-16) into (10-15), the transmitted signal is then given by Xn =

1 N

N −1

∑ zk R[k ]e

j

2πkn N

(10-17)

k =0

At the receiver, the received signal including the frequency offset ∆f is given by 1 yn = N

N −1

∑z R k =0

k

N

[ k ]H k e

j

(

)

2π k +δ f n N

(10-18)

∆f is the f scs normalized frequency offset error. After taking the FFT of the received signal (10-18), the frequency representation of the received symbol at the receiver is given by, 2πkn 1 N −1 − j Yl = ∑ yn e N (10-19) N k =0

where H k is the transfer function of the propagation channel at the kth subcarrier, and that δ f =

Substituting the received signal samples in (10-18), this is reduced to, Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 13 -

[email protected]

1 Yl = N

N −1

∑z k =0

N −1

k

RN [ k ]H k ∑ e

j

(

)

2π k +δ f n − 2πln N

(10-20)

n =0

which is further reduced to, Yl =

1 N

N −1

N −1

∑ z k RN [ k ] H k ∑ e k =0

j

[

]

2π k +δ f −l n N

(10-21)

n =0

If the frequency error δ f is an integer, we have

Yl = zk −δ f RN [k ]H k −δ f

(10-22)

Equation (10-22) indicates that the entire OFDM signal Yl in (10-22) has been shifted in frequency without any ICI components [20,22-24]. When δ f is a fractional number, or δ f ≤ 1 , the received signal in (10-21) has an additional term that is given by N −1

∑e

j

[

]

2π k + δ f − l n N

=

n =0

sin (π (k + δ f ) − l )

⎛ π (k + δ f ) − l ⎞ ⎟⎟ sin⎜⎜ N ⎝ ⎠

e

j

[

2π k + δ f − l N

]

( N −1)

(10-23)

Substituting (10-23) into the received signal in (10-21), the demodulated signal becomes,

Yl =

sin (πδ f 1 z l R N [ l ]H l N ⎛ πδ f sin⎜⎜ ⎝ N

sin(πδ ) ⎛ π (k − l + δ f sin⎜⎜ N ⎝

) ⎞⎟ e

j

(

) ⎞ ⎟⎟ ⎠

2π k − l + δ f

e )

N

j

2πδ f ( N −1) N

+

1 N

N −1

∑z

k

R N [k ]H k (− 1)

k −l

.

k =0 k ≠l

(10-24)

( N −1)

⎟ ⎠

This indicates that the received signal (10-24) is the summation of Yl = X l + I l , where I l is the ICI part. The magnitude of the desired signal X l in (10-24) is amplitude attenuated by the channel gain H l and another sin (πδ f ) , which can be further simplified to, term due to the frequency error, that is given by ⎛ πδ f ⎞ ⎟⎟ sin⎜⎜ N ⎠ ⎝

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 14 -

[email protected]

( )

sin πδ f

⎛ πδ sin ⎜⎜ f ⎝ N

⎞ ⎟⎟ ⎠

=

sin (πN∆fTs ) sin (π∆fTs )

(10-25)

For example, when the carrier of IEEE802.11 a LAN terminal 5GHz has 10ppm crystal offset. This offset corresponds to a frequency offset of (5000x10 6 )(10 / 10 6 ) = 50kHz. j

(

2π k − l + δ f N

)

( N −1)

A third distortion term in (10-24) is due to frequency offset, represented by a phase rotated by e . This term introduces a constant phase shift that is common to all frequency bins, and can either be neglected if differential decoding is employedor can be included in the channel estimation and correction algorithm (i.e., estimated channel gain is complex gain). Figure 10-10 graphically illustrates ICI and amplitude distortion components associated with (10-24). Figure 10-11 shows carrier frequency offset impact on 16QAM symbol constellation, with frequency errors of δ f = 0 , δf = 1% , δ f = 10% , and δ f = 50% . ∆f

amplitude reduction

ICI distortion

Frequency (Hz)

Figure 10- 10: The effects of a frequency offset, reduction in signal amplitude, and intercarrier interference.

10.7

Impact of Symbol Timing Synchronization on OFDM Reception

At the receiver the demodulation process starts at an arbitrary point in time with a shift of ∆τ relative to the start of the symbol. Then the subsequent sampling unit exhibits a different sampling period with a normalized ∆T error of δ t = [28]. In addition, the receiver starts recording N samples with a time delay of εTa , after Ts applying the FFT process to these N samples, the output of the FFT block is z n +ε (k ) for subcarrier k, which Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 15 -

[email protected]

means that the demodulation window is shifted ε samples relative to the transmitter symbol period. This means that the observed N samples contain samples from neighboring symbols resulting in ISI. As a result of this error, the number of useful symbols decreases from N to N − ε . The resulting baseband signal is given by z n +ε ( k ) = z n ( k ) e

j 2 πk

ε N

−e

j 2πτ 0

1 s −1 NTs

∑z r =0

n

( n + r )e

j 2 πk

( s−r ) N

+e

j 2πτ 0

1 s −1 NTs

∑z r =0

n

( n + N + r )e

j 2 πk

( s −r ) N

(10-26) The two right hand side terms in (10-26) are ISI caused by samples that do not belong to the transmitted symbol beginning at nTs and by samples of the transmitted symbolwhich are missing in the demodulation window. In addition, the first useful signal part in (10-26) includes a phase shift rotation whose magnitude depends on the position of the subcarrier (k) in the spectrum (10-26). The impact of this rotation was illustrated in Figure 10-8. Frequency offset =1% of sub-carrier

Imaginary

Frequency offset =0% of sub-carrier

Real Frequency offset =10% of sub-carrier

Frequency offset =50% of sub-carrier

Figure 10- 11: Carrier frequency offset impact on 16QAM symbol constellation, δ f = 0 , δf = 1% ,

δ f = 10% , and δ f = 50% .

10.8

Impact of Sampling Time Synchronization Errors on OFDM Reception

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 16 -

[email protected]

As mentioned above, timing errors in OFDM receivers take place due to starting with wrong timing offset εTs and to an offset sampling timing (1 − δ t )Ts . As a result, the sampling time at index n of OFDM symbol m takes place at the time instant of t = εTs + ( n + mN )(1 − δ t )Ts , with no timing errors ( δ t = 0 ). Sample time strobe would be just t = εTs + ( n + mN )Ts . With the initial window offset removed and started at the start of symbol boundary ( ε = 0 ), sample timing would be t = ( n + mN )Ts , which is the optimal sampling time with n=0…..N-1 for each symbol. Including all three synchronization errors in the baseband signal for the subcarrier l (coarse time, sample time offset, and frequency offset), the baseband OFDM signal [14] is given by z m ( n) =

[

]

ε ⎞ ε ⎞ ⎛ ⎛ j 2πk ⎜ m (1−δ t ) + ⎟ jπ ((1−δ t )( k + δ f ) − l ) N −1 sin π ((1 − δ t )(k + δ f ) − l ) 1 j 2πδf ⎜⎝ m (1−δ t ) + N ⎟⎠ N −1 N⎠ ⎝ N e X m (k ) H k e e ∑ sin π ((1 − δ t )(k + δ f ) − l ) / N N k =0 (10-27) Equation (10-27) now is re-arranged into Equation (10-28) so that distortion terms due to synchronization errors are emphasized. These terms include distortions that are for k = l (current subchannel), and the ISI terms from the neighboring subcarriers k ≠ l , ICI terms.

[



zm ( n ) =

ε ⎞



ε ⎞

j 2πl ⎜ m (1−δ t ) + ⎟ jπ ((1−δ t )( l + δ f ) − l ) 1 j 2πδf ⎜⎝ m (1−δ t ) + N ⎟⎠ N⎠ e X m (l ) H l e ⎝ e N

+ ICI (l, δ t , δ f , ς )

N −1 N

[ sin [π ((1 − δ )(l + δ

]

sin π ((1 − δ t )(l + δ f ) − l ) t

f

) − l) / N

]

]

(10-28) This describes the impact of synchronization errors due to both timing and frequency offset inflected on the baseband samples X m (l) . By inspection of the equation (10-28), frequency offset errors δf causes continuous rotation of received signal constellation. This rotation is equal for all of the subcarrier positions sin[πδ f ] (see Figure 10-8). In addition, the signal amplitude is being attenuated by the term, which is sin[πδ f / N ] directly proportional to the frequency offset δf (see Figure 10-10). The frequency offset δf also contributes to the ICI distortion term. Sampling time error δ t also results in continuous phase rotations, which are a function of the position of the subcarrier location, causing outer subcarriers to have large rest phase shifts. In addition, the symbol timing errors δ t contribute to both amplitude distortion and phase rotation as shown in Equation (10-28). 10.9

SNR Degradation Due to Carrier Frequency Offsets ∆f , the SNR degradation f scs due to this frequency offset as a result of the power drop in the useful signal level [6] is given by

With the frequency offset being normalized to the subcarrier frequency offset δ f =

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 17 -

[email protected]

Dδf =

10 (πδ f 3 ln(10)

)

2

Es [dB] No

(10-29)

Note here that the degradation (10-29) increases with the square of the number of subcarriers since δ f =

∆f . NTs

This degradation was extended to OFDM signals operating in fading channels [6] and is given by, 2

E ⎛ ⎞ ⎜ 1 + 0.5947 s sin 2 (πδf ) ⎟ No ⎟ [dB] Dδf ≤ 10 log10 ⎜ 2 ⎜ ⎟ [sin (δf ) (δf )] ⎜ ⎟ ⎝ ⎠

(10-30)

Figure 10-12 illustrates the SNR degradation (10-29) and (10-30) as a function of frequency offset for both AWGN and fading channel. The figure shows that to obtain a ICI ratio of 20 dB (100 times), the frequency 5 offset δf has to be in the range of δf ≤ f scs . 100 1

10

without fade Es/No=20 with fade

SNR degradation-dB

0

10

Es/No=10 -1

10

Es/No=0

-2

10 0.01

0.015

0.02

0.025 0.03 0.035 Normalized frequency offset

0.04

0.045

0.05

Figure 10- 12: Degradation in SNR due to a frequency offset δf (normalized to the subcarrier spacing) in both AWGN and fading channels.

10.10

SNR Degradation Due to Sampling Frequency Offsets

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 18 -

[email protected]

The SNR degradation (in dB) due to sampling frequency clock errors in parts per million (PPM) [6] mathematically is given by ⎛ 1 Es ⎞ Dn ≤ 20 log10 ⎜⎜1 + nπ 10 −6 δf s ⎟⎟ [dB] (10-31) ⎝ 3 No ⎠

(

)

where δf s = ∆f s Ts is the sample clock offset in PPM at the nth subcarrier normalized to the OFDM symbol timing Ts . Figure 10-13 shows a plot of (10-31) versus the sample clock offsets δf s (in PPM) normalized by the sampling frequency for the subcarrier of n=256 . 1

10

Es/No=20

SNR degradation-dB

0

10

Es/No=10

-1

10

Es/No=0

-2

10

0

50

100

150 200 250 300 350 400 Normalized sampling clock offset (PPM)

450

500

Figure 10- 13: SNR degradation versus the sample clock offsets δf s normalized by the sampling frequency for the subcarrier n=256 .

10.11

SNR Degradation due to Carrier Phase Noise Offsets

Carrier phase noise is caused by imperfection in the transmitter and receiver oscillators [29]. For OFDM, no distinction can be made between the phase rotations induced by timing error or carrier phase due to the channel or the oscillator phase noise. Phase noise for the carrier oscillator used in the receiver down converter are modeled by a Lorentzian model [29], where the single-sided noise density spectrum is given by Sd ( f ) =

2 πf φ ⎛ f ⎞ 1+ ⎜ ⎟ ⎜f ⎟ ⎝ φ⎠

(10-32)

2

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 19 -

[email protected]

where f φ is the 3dB linewidth of the oscillator and f is the frequency offset from the carrier frequency in Hz. Assuming that phase noise is given by φ (n) , the baseband OFDM signal affected by this phase noise is given by r (n) = x(n)e jφ ( n ) . After the DFT is performed at the receiver, the exponential term e jφ (n ) can be approximated by e φ ( n ) ≈ 1 + jφ ( n )

(10-33)

Since sin φ ( n ) < 1 rad . As a result, the received signal r (n) = x(n) + e jφ ( n ) (??). After performing the DFT on the received signal, N −1

with x(n) = ∑ s k e

j

2πkn N

, the demodulated signal becomes,

k =0

y (k ) = s k +

2πk ( r − k )n

j j N −1 N −1 N s k ∑ φ (n)e ∑ N4 r =0 k =0 1 44 4244443

(10-34)

ek

Thus the effect of phase noise on the received OFDM baseband signal in (10-34) has been an error term ek at each subcarrier that includes also a common phase error that is equal for all subcarriers. In Equation (10-34), when r = k , the error term ek is reduced to ek =

j N

N −1

N −1

r =0

k =0

∑ s k ∑ φ (n)

(10-35)

1 N −1 ∑ φ (n) is the average of the phase noise. This implies that the impact of phase noise results in a N k =0 constant rotation for all symbols at all sub-carriers and thus can be removed when removing (??) in the presence of the sampling time errors. For the case when r ≠ k in Equation (10-34), the error term ek is given by

The term

ek =

j N

N −1

N −1

r =0 r ≠0

k =0

∑ sk ∑ φ (n)e

j

2πk ( r − k )n N

(10-36)

This corresponds to both symbol rotations and an inter-carrier interference term (ICI). The spectral components of the phase noise that contribute to the magnitude of this error are those from f scs up to the total phase noise bandwidth f φ . Because of its random nature, it cannot be corrected and thus will induce phase rotations that are like those induced by AWGN. Figure 10-14 shows this impact on the constellation of 16QAM OFDM modulations with two different values of f φ . The Figure on the left clearly shows that the phase noise present produces a total phase rotation, which title (??) the whole constellation (clockwise in this case).

Digital Receiver Design Dr. Mohamed Khalid Nezami © 2003 - 20 -

[email protected]

fφ f scs = 0.001%

Imaginary

fφ f scs = 0.01%

Real Figure 10- 14: Carrier frequency offset impact on 16QAM.

The figure on the left illustrates the case when the phase noise bandwidth is close to f scs . Here inter-carrier interference dominates over common phase errors. It can be seen that the constellation undergoes both rotation and smearing in the case where f φ