Experiments and Ab Initio Calculations

43 downloads 0 Views 939KB Size Report
L. R. C. Fonseca. Center for Semiconductor Devices. State University of Campinas. 13083-870 Campinas, SP, Brazil. K.-H. Xue. IMEP-LAHC, Minatec-INPG, ...
On the forming-free operation of HfOx based RRAM devices: Experiments and ab initio calculations B. Traoré, E. Vianello, G. Molas, M. Gely, J.F. Nodin, E. Jalaguier, P. Blaise, B. De Salvo.

K.-H. Xue IMEP-LAHC, Minatec-INPG, 3 rue Parvis Louis Néel, BP 257, 38016 Grenoble Cedex 1, France

LTMA (Laboratoire des Technologies Mémoires Avancées) CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (+33) 04 38 78 11 25, [email protected]

Y. Nishi L. R. C. Fonseca

Department of Electrical Engineering Stanford University California, USA

Center for Semiconductor Devices State University of Campinas 13083-870 Campinas, SP, Brazil Abstract— We show experimentally that the first reset operation of forming-free HfOx based RRAM devices is of bulk type where the reset current is area dependent. Moreover, the device pristine resistance shows a weak inverse proportionality to temperature, which we associate to a sub-stoichiometric HfOx matrix created during device fabrication. Finally, we use ab initio calculations to gain insight into the atomistic structure of these forming-free RRAM devices.

I.

INTRODUCTION

HfO2 based RRAM devices have attracted considerable attention in recent years due to their compatibility with the conventional CMOS back-end-of-line process and their potential to replace floating gate memory devices [1-2]. However, their forming operation involves relatively highvoltage values which place considerable electrical stress on the memory cells affecting their expected behavior [3]. Indeed, it has been pointed out that the switching characteristics of these devices are mostly determined during the forming step [4]. To limit the impact of the forming operation, thus improving the uniformity of switching parameters, possible solutions have been proposed: hot forming [4], constant voltage stress forming [3] and thin HfOx based forming-free devices [5]. The latter solution is of particular interest since Chen et al. [5] showed highperformance forming-free devices with very good characteristics. However, their analysis did not provide sufficient information about the devices’ initial properties. Furthermore, a deeper understanding of the atomistic structure of these devices is still lacking. In this paper, we combine experimental data and ab initio calculations to propose a possible microstructure for formingfree devices based of Hf-oxide. We show that the first reset operation is carried over the entire device area making it bulk

978-1-4799-0649-9/13/$31.00 ©2013 IEEE

type with low initial resistance, which we ascribe to poor conductive sub-stoichiometric regions in the dielectric matrix. II.

SAMPLE DESCRIPTION AND EXPERIMENTAL SET-UP

WSix/HfOx/Ti plug-type devices were fabricated as shown in Fig. 1. The WSix via acting as the bottom electrode was deposited by CVD (Chemical Vapor Deposition) employing the WF6 and SiH4 precursors at 400oC. The WSix plugs were then patterned by hybrid e-beam and DUV lithography to obtain plug diameters from 80 nm to 1 μm. Following this step, either 3 nm or 10 nm thick HfOx layers were deposited by ALD (Atomic Layer Deposition) at 300oC. Finally, a 10 nm thick Ti top electrode was deposited by PVD (Physical Vapor Deposition). The devices were fabricated in 1R architecture, without access transistor, and were characterized using HP4156 and Agilent B1500A semiconductor parameter analyzers, which controlled the compliance current. All the electrical tests were performed in quasi-static conditions.

Figure 1. Schematic view of the fabricated devices.

III.

SIMULATION

All simulations were based on density functional theory [6]. We used the VASP code [7], with the GGA-PBE technique to describe the exchange-correlation term, and PAW pseudopotentials to account for the core electrons [8].

170

To describe the wavefunctions, the plane wave basis cutoff energy was 500 eV, while for the optimization of supercell geometry and atomic positions, the maximum residual force was 0.04 eV/Å. The formation energies of various oxygen vacancies were calculated in the oxygen-rich limit, where the chemical potential of oxygen is set as a half of that of O2. IV.

EXPERIMENTAL RESULTS AND DISCUSSION

Figure 2 shows the IV plots of the pristine 10 nm HfOx sample after a necessary electroforming step due to the initial high resistance of the devices. The high first reset current of the 10 nm HfOx is caused by the current overshoot in 1R devices during electroforming because of the limited control of the compliance current by the measuring instruments. This current overshoot, reflected during the reset operation, has been attributed to the parasitic capacitance between the instrument and the samples [3, 4, 10]. Figure 3 shows IV plots for the 3 nm HfOx layer where the devices start from an initial low resistance state, thus requiring no forming operation. Figure 3 shows that, similarly to the 10 nm case, the first reset current is much higher than the subsequent reset operations. However, this is not related to the current overshoot during forming because the 3 nm devices were not submitted to a forming operation. The first reset current is plotted as function of the device area in Fig. 4 for both 3nm and 10 nm HfOx. Contrary to the 3 nm HfOx, the 10 nm HfOx does not exhibit any area dependence for the first reset current since a forming operation was carried out creating conductive paths.

Figure 2. sample.

I-V curves of several SET/RESET cycles of the 10 nm HfOx

Figure 3. I-V curves for several SET/RESET cycles of the 3 nm HfOx sample.

Figure 4. The first "RESET current" (maximum current during a RESET sweep) for the 3 nm and 10 nm samples with various areas.

Both devices show bipolar switching characteristics. Since Ti is known as a strong oxygen-gettering material, the 3 nm film is likely to be oxygen-deficient, but not like pure Hf metal since the devices can be successfully RESET (the fuseantifuse mechanism [11] only applies to filament patches rather than the whole cell area). On the other hand, after the initial SET/RESET cycle, the following RESET currents were almost area-independent (Fig. 5), suggesting that conductive filaments are responsible for carrying current. Indeed, the ONstate resistances of both the 10 nm cell and the 3 nm cell (except for the first RESET operation), exhibit strong dependence on the compliance current during the previous SET, rather than on the device area (Fig. 6).

Figure 5. Area dependence of the first and subsequent RESET currents for a 3 nm forming-free cell. The first RESET was performed over the whole device area.

Figure 6. ON-state resistance dependence on the SET compliance current for the 3 nm and 10 nm samples with different areas.

171

V.

Figure 7. IV-plots of the initial resistance (Rinit) measurment at different temperatures. The inset shows zoomed IV-plots around 0.1 V.

FIRST-PRINCIPLES SIMULATION

In order to further investigate the low-resistive state of the forming-free devices, we performed first-principles calculations on different hafnia sub-oxides with the aim of understanding the microscopic nature of the oxide layer. For the generic HfOx formula, two limiting cases exist: metal Hf (x=0) and the insulator monoclinic HfO2 (x=2). At a threshold x=x0, the material is supposed to experience a metal-insulator transition. In our theoretical approach, some strong offstoichiometric HfOx models were considered. Their crystal structures are shown in Fig. 9 while their densities of states (DOS) are shown in Fig. 10. The Hf4O7 model, obtained through the introduction of one oxygen vacancy per 12-atom monoclinic HfO2 unit cell followed by full optimization of atomic positions, is a semiconductor with a reduced band gap compared with the stoichiometric HfO2. The ground state Hf2O3 model, possessing a tetragonal rather than monoclinic structure as recently predicted, is semi-metallic [9]. The Hf4O5 model was obtained through the introduction of one oxygen vacancy in the tetragonal Hf2O3 primitive cell. Compared with Hf2O3, Hf4O5 shows a higher DOS at the Fermi level. Finally, the hcp metal Hf possesses a much higher DOS near the Fermi level compared with all the above sub-oxides.

Figure 8. Dependence of Rinit on temperature for the forming-free 3 nm HfOx. A slight decrease of resistance with rising temperature is observed.

These results suggest that the initial state of the 3nm forming-free cell consists of some low-resistive sub-oxides of hafnia, whose conductance is relatively homogeneous across the device area. However, the first RESET step (Fig. 2) oxidizes the sub-oxides, rendering them insulating/semiconducting. It is the first SET operation (2nd SET in Fig. 2) in the 3 nm cell, or the electroforming in the 10 nm cell, that creates filamentary paths. Hence, hafnia suboxides may be related to an initial low resistive state, just as in the case of the Ti4O7 Magnéli phase in TiO2 RRAM [12]. To gain insight into the initial state of the 3 nm hafnia cell, we measured the initial resistances (Rinit) while varying the temperature. Figures 7 and 8 show an extremely weak inverse proportionality between Rinit and temperature which indicates a behavior that is not typical of a semiconductor. The temperature response of the forming-free device may be related to a combination of many conductive HfOx sub-oxides and a poor semiconducting region surrounding them. The conductivity of the latter region is slightly activated when temperature is raised.

Figure 9. Atomic models for the ground state configurations of HfO2, Hf4O7, Hf2O3 and Hf4O5.

172

operation characteristics. The stoichiometry of such suboxide should be close to (or more oxygen-deficient than) Hf2O3. We believe that our findings shed new light on the microscopic mechanisms behind RRAM operation. ACKNOWLEDGMENT This work is funded by the Foundation Nanosciences in Grenoble, France. LRCF also thanks INCT/Namitec and CNPq for financial support. REFERENCES

Figure 10. DOS of various HfOx models: (a) monoclinic HfO2; (b) Hf4O7; (c) tetragonal Hf2O3; (d) Hf4O5; (e) hcp Hf. The highest occupied molecular orbital (HOMO) level and the Fermi level are marked with a vertical dashed line for insulators/semiconductors and for metals/semi-metals, respectively.

These results indicate that the initial conductive phase may be related to some sub-oxide HfOx where x is close to or below 1.5. The initial conductive phase is not expected to be pure Hf metal since a cylindrical metal covering the whole device area cannot be easily affected by a RESET operation; only a thin metal filament can. The reason for sub-oxide formation can be attributed to the strong oxygen-gettering characteristics of Ti electrodes. In Reference [9] we have shown that the formation energy of an oxygen vacancy in monoclinic HfO2 is 7.00 eV if the oxygen is released in O2 molecular form. However, its formation energy is drastically reduced to 0.76 eV if the missing oxygen atom migrates to Ti, becoming an interstitial in the Ti electrode. Therefore, the Ti electrode may have been oxidized to a sub-stoichiometric TiOx layer near the Ti/HfO2 interface during device fabrication as experimentally demonstrated in [13], leaving HfOx sub-oxide patches in the dielectric. The thick Ti (10 nm) to thin HfO2 (3 nm) ratio used in our experiments may enhance the reduction of the hafnia initial state resulting in the measured forming-free characteristics. VI.

CONCLUSION

We have shown that the reset operation of forming-free devices (3nm HfOx) takes place over the entire device area with a high initial reset current. The subsequent set/reset operations suggest the presence of conductive filaments in the dielectric, when the switching parameters become area independent. Ab initio calculations indicate that hafnia suboxides may be responsible for the devices forming-free

[1] H. Akinaga and H. Shima, “Resistive random access memory (ReRAM) based on metal oxides”, Proc. IEEE, vol. 98, p. 2237, 2010. [2] V. Sriraman, Z. Chen, X. Li, X. Wang, N. Singh, and G.-Q. Lo, “HfO2 based resistive switching non-volatile memory (RRAM) and its potential for embedded applications”, Inter. Proc. Comp. Scien. Inform. Tech. (IPCSIT), vol. 32, p.101, 2012. [3] A. Kalantarian, G. Bersuker, D. C. Gilmer, D. Veksler, B. Butcher, A. Padovani, O. Pirrotta, L. Larcher, R. Geer, Y. Nishi, and P. Kirsch, “Controlling uniformity of RRAM characteristics through the forming process”, IEEE IRPS, p. 6C.4.1, 2012. [4] B. Butcher, G. Bersuker, K. G. Young-Fisher, D. C. Gilmer, A. Kalantarian, Y. Nishi, R. Geer, P. D. Kirsch, and R. Jammy, “Hot forming to improve memory window and uniformity of low-power HfOx-based RRAMs”, IEEE IMW, 2012. DOI: 10.1109/IMW.2012.6213647. [5] Y.-S. Chen, T-Y. Wu, P.-J. Tzeng, P.-S. Chen, H.-Y. Lee, C.-H. Lin, P.-S. Chen, and M.-J. Tsai, “Forming-free HfO2 bipolar RRAM device with improved endurance and high speed operation”, VLSI Techn. Syst. Appl., p. 37, 2009. [6] P. Hohenberg and W. Kohn, “Inhomogeneous electron gas” Phys. Rev., vol. 136, p. B864, 1964;W. Kohn and L. J. Sham, “Self-consistent equations including exchange and correlation effects”, Phys. Rev., vol. 140, p. A1133, 1965. [7] G. Kresse and J. Furthmüller, ‘‘Efficiency of ab-initio total energy calculations for metals and semiconductors using a plane-wave basis set’’, Comput. Mater. Sci., vol. 6, p. 15, 1996; ‘‘Efficient iterative schemes for ab initio total-energy calculations using a plane-wave basis set’’, Phys. Rev. B, vol. 54, p. 11169, 1996. [8] P. E. Blöchl, ‘‘Projector augmented-wave method”, Phys. Rev. B, vol. 50, p. 17953, 1994; G. Kresse and D. Joubert, “From ultrasoft pseudopotentials to the projector augmented-wave method”, Phys. Rev. B, vol. 59, p. 1758, 1999. [9] K.-H. Xue, P. Blaise, L. R. C. Fonseca, and Y. Nishi, “Prediction of semimetallic tetragonal Hf2O3 and Zr2O3 from first principles”, Phys. Rev. Lett., vol. 110, p. 065502, 2013. [10] H. J. Wan, P. Zhou, L. Ye, Y. Y. Lin, T. A. Tang, H. M. Wu, and M. H. Chi, “In Situ observation of compliance-current overshoot and its effect on resistive switching”, IEEE Elect. Dev. Lett., vol. 31, p. 246, 2010. [11] R. Waser, R. Dittmann, G. Staikov, and K. Szot, ‘‘Redox-based resistive switching memories --- nanoionic mechanisms, prospects, and challenges’’, Adv. Mater., vol. 21, p. 2632, 2009. [12] D.-H. Kwon, K. M. Kim, J. H. Jang, J. M. Jeon, M. H. Lee, G. H. Kim, X.-S. Lee, G.-S. Park, B. Lee, S. Han, M. Kim, and C. S. Hwang, “Atomic structure of conducting nanofilaments in TiO2 resistive switching memory”, Nat. Nanotechnol., vol. 5, p. 148, 2010. [13] M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess, M. A. Schubert, M. Lukosius, W. Drube, Ch. Walczyk, and T. Schroeder, “Hard x-ray photoelectron spectroscopy study of the electroforming in Ti/HfO2-based resistive switching structures”, Appl. Phys. Lett., vol. 100, p. 233509, 2012.

173