FPGA Implementation of Digital Modulation ... - Semantic Scholar

20 downloads 0 Views 404KB Size Report
QPSK modulation techniques have been implemented on FPGA using VHSIC ... In Binary Phase Shift Keying (BPSK), the phase of the sinusoidal carrier signal ...
IJECT Vol. 5, Issue Spl - 2, Jan - March 2014

ISSN : 2230-7109 (Online) | ISSN : 2230-9543 (Print)

FPGA Implementation of Digital Modulation Schemes: BPSK and QPSK Using VHDL Rajib Das

Dept. of ECE, Sir J. C. Bose School of Engineering, West Bengal, India Abstract This paper presents the simulation results of digital modulation schemes BPSK and QPSK. Digital modulation is less complex, more secure and more efficient in long distance transmission. The noise detection and correction in digital is more efficient than analog. So it has more importance in modern communication system. These digital modulation schemes can be realized using FPGA ( Field Programmable Gate Array) . In this paper, BPSK and QPSK modulation techniques have been implemented on FPGA using VHSIC (Very High Speed Integrated Circuit) Hardware Description Language (VHDL) on Xilinx ISE 14.1 and simulated with MdelSim SE 6.0

STAGE0 generates the carrier sinusoidal signal (A sin (2πft)) whose frequency is 322 MHz and initial phase is 0º represented by SinWave0. Similarly, STAGE1 generates the carrier sinusoidal signal (A sin (2πft + π)) whose frequency is 322 MHz and initial phase is 180º represented by SinWave180. So the output of STAGE0 and STAGE1 are same but they are out of phase. STAGE2 represents the Bit Separator block. This block separates one successive massage signal bit by one time period of sinusoidal signal. Three input signals of bit separator are clk, start and data. Start signal acts as enable signal for BPSK Modulator.

Keywords Digital Modulation Techniques, BPSK, QPSK, FPGA, VHDL I. Introduction Despite simple transmitter and receiver architecture of BPSK modulator, BPSK modulation is still commonly used in wireless communication such as WPAN ( Wireless Personal Area Network) [1]. QPSK is used in different communication systems like CDMA , 3G, Wi-Fi (IEEE 802.11) and WiMAX ( IEEE 802.16). The bandwidth required by QPSK is one-half than that of BPSK for the same Bit Error Rate (BER) and transmission data rate in QPSK is higher because of reducer bandwidth. Field-programmable gate arrays(FPGAs) are semiconductor devices containing programmable Logic Elements (LEs) and a hierarchy of reconfigurable interconnects to realize any complex combinational or sequential logic functions. Today’s FPGAs consist of configurable embedded static random-access memories (SRAMs), high-speed transceivers, high-speed input/output (I/O) elements, network interfaces, and even hard-embedded processors. A literature survey shows that FPGAs are widely used in different applications, such as motor controllers [2], neural network implementations [3-5], Finite Impulse-Response (FIR) filter realization [6-7], fuzzy-logic controllers [8], etc. II. BPSK Modulator In Binary Phase Shift Keying (BPSK), the phase of the sinusoidal carrier signal is varied in accordance with the value of the binary information data bit to be transmitted. It is also called bi-phase modulation or phase reversal keying [9]. The phase of the carrier signal is changed between 0º and 180º by the binary data. The BPSK signal can be expressed as:

Where, A sin (2πft) is carrier signal with 0º initial phase. When the input binary data changes from 1 to 0 or vice versa , the BPSK output signal phase shifts from 0º to 180º or vice versa. III. FPGA Based BPSK Modulator Design Using Xilinx ISE 14.1 , BPSK modulated signal can be created. Fig-1 shows the proposed block diagram in FPGA. In this BPSK modulator, when clock signal (clk) is applied then w w w. i j e c t. o r g

Fig. 1: Block Diagram of BPSK Modulator Data signal represents the digital massage signal bit. The output signal of Bit Separator acts as a select input for STAGE3 which is basically a multiplexer and represented by MUX_BPSK. This signal selects output of STAGE0 or STAGE1 as input signal of multiplexer. The output of multiplexer (BPSK_OUT) is the BPSK Modulated signal (indicated by violet color waveform in fig. 3). Fig. 3 shows the simulation result of BPSK MODULATOR. IV. QPSK Modulator Quadrature Phase Shift Keying (QPSK) is an M-ary constant amplitude digital modulation scheme in which number of bits is two and number of signaling elements are four. In QPSK two successive bits in a bit stream is combined together to form a symbol. Each symbol is then represented by a distinct value of phase shift of the carrier. Each signaling element is represented by two bits. This is called dibit system. QPSK signal can carry twice as much data in the same bandwidth as can a single-bit system, provided the SNR is high enough. It has four different phase shifts, separated by multiples of 90º of the carrier signal. C(t) = A sin (2πft). Four output phases are possible for a single International Journal of Electronics & Communication Technology   111

ISSN : 2230-7109 (Online) | ISSN : 2230-9543 (Print)

IJECT Vol. 5, Issue Spl - 2, Jan - March 2014

carrier frequency, corresponding to 00, 01, 10 and 11 dibts. Each dibit code generates one of the four possible output phases (0º , 90º, 180º, 270º). A single change in output phase occurs for each two-bit dibit. The rate of change at the output (baud) is equal to one-half the input bit rate. Mathematically, the QPSK signal for one symbol duration, consisting of two bits each, can be expressed as:

Table 1 Depicts the Relationship Between Symbols, Bits and Phase Shifts in QPSK Carrier Signal [9] Table 1: Symbols Bits and Phase Shifts in QPSK Signal Symbol S1 S2 S3 S4

Bit in symbol 00 01 10 11

Phase shift in carrier signal 0º 90º 180º 270º

V. FPGA Based QPSK Modulator Design Using Xilinx ISE 14.1 , BPSK modulated signal can be created. Fig-2 shows the proposed block diagram in FPGA. In this QPSK modulator, when clock signal (clk) is applied then STAGE0 generates the carrier sinusoidal signal (A sin (2πft)) whose frequency is 322 MHz and initial phase is 0º represented by SinWave0.

Similarly, STAGE1, STAGE2 and STAGE3 generate the carrier sinusoidal signal whose frequency is 322 MHz and initial phase is 90º, 180º, 270º represented by SinWave90, SinWave180, SinWave270 respectively. STAGE4 represents the Bit Separator block. This block separates two successive massage signal bits by one time period of sinusoidal signal. Three input signals of bit separator are clk, start and data. Start signal acts as enable signal for QPSK Modulator. Data signal represents the digital massage signal bits. The output signal of Bit Separator acts as a select input for STAGE5 which is basically a multiplexer and epresented by MUX_QPSK. This signal selects output of STAGE0 or STAGE1 or STAGE2 or STAGE3 as input signal of multiplexer. The output of multiplexer is the QPSK Modulated signal (indicated by violet color waveform in fig. 4). Fig. 4 shows the simulation result of QPSK MODULATOR. VI. Conclusion and Future Works The purpose of this paper is can be implemented BPSK and QPSK modulation techniques based on FPGA. Here modulation is done without multiplication of binary massage signal with sinusoidal carrier signal. Instead of multiplication, for each case, sample of different carrier signal was saved in ROM. In an AWGN (Additive White Gaussian Noise) channel, the BER (Bit Error Rate) decreases approximately exponentially as the SNR (Signal to Noise Ratio) [10]. BPSK and QPSK produce good BER and noise immunity than ASK, FSK. The bandwidth for BPSK is same as that of ASK, and less than that of BFSK. The bandwidth required by QPSK is one-half that of BPSK for the same BER. The transmission data rate in QPSK is Higher because of reduced bandwidth. The variation in QPSK signal amplitude is not much, hence carrier power almost remain constant. In the future works, the proposed BPSK and QPSK modulator will be implemented on the Spartan 3E Starter Kit. The design has been written in the VHD programming code by Xilinx software. After implementing the BPSK and QPSK modulator, I want to realize a BPSK and QPSK system. The system will consist of a modulator and demodulator and the signal from the modulator to demodulator will pass through a channel affected by AWGN. The modulated and demodulated signals will be also routed to VGA monitors, but also to oscilloscopes.

Fig. 2: Block Diagram of QPSK Modulator.

112

International Journal of Electronics & Communication Technology

w w w. i j e c t. o r g

ISSN : 2230-7109 (Online) | ISSN : 2230-9543 (Print)

IJECT Vol. 5, Issue Spl - 2, Jan - March 2014

Fig. 3: Simulation Result of BPSK Modulator

Fig. 4: Simulation Result of QPSK Modulator References [1] H. C. Park, "Power and bandwidth efficient constant envelope BPSK signals and its continuous phase modulation", IEE Proceedings Communications, Vol. 152, No. 3 June 2005 [2] B. Alecsa, A. Onea,“Design, Validation and FPGA Implementation of a Brushless DC Motor Speed Controller”, Proceedings of the 17th IEEE International Conference on Electronics, Circuits, and Systems (ICECS), December 1215, 2010, pp. 1112-1115. [3] S. Himavathi, D. Anitha, A. Muthuramalingam,“Feedforward Neural Network Implementation in FPGA Using Layer Multiplexing for Effective Resource Utilization”, IEEE Transactions on Neural Networks, 18, 3, 2007, pp. 880888. [4] N. M. Botros, M. Abdul-Aziz,“Hardware Implementation of an Artifi cial Neural Network Using Field Programmable Gate Arrays (FPGA’s)”, IEEE Transactions on Industrial Electronics, 41, 6, 1994, pp. 665-667. [5] T. Orlowska-Kowalska, M. Kaminski, “FPGAImplementation of the Multilayer Neural Network for the Speed Estimation of the Two- Drive System”, IEEE Transactions on Industrial Informatics, 7, 3, 2011, pp. 436-445 [6] P. K. Meher, S. Chandrasekaran, A. Amira,“FPGA Realization of FIR Filters by Effi cient and Flexible Systolization Using Distributed Arithmetic”, IEEE Transactions on Signal Processing, 56, 7, 2008, pp. 3009-3017. [7] K. N. Macpherson, R. W. Stewart,“Rapid Prototyping – Area Effi cient FIR Filters for High Speed FPGA Implementation”, IEE Proceedings ─ Vision, Image and Signal Processing, 153, 6, 2006, pp. 711-720 [8] D. Kim,“An Implementation of Fuzzy Logic Controller on the Reconfi gurable FPGA System”, IEEE Tran sactions on w w w. i j e c t. o r g

Industrial Electronics, 47, 3, 2000, pp. 703-715. [9] T. L. Singal,'' Analog & Digital communications", Tata Mc Graw Hill, 2012. [10] M. Sonzem, A. Akbal,“FPGA-Based BASK and BPSK Modulators Using VHDL: Design, Applications and Performance Comparison for Different Modulator Algorithms”, International Journal of Computer Applications Vol. 42, No. 13, March 2012.

International Journal of Electronics & Communication Technology   113