Numerical Model of Gate-All-Around MOSFET With Vacuum Gate ...

2 downloads 0 Views 447KB Size Report
Aug 26, 2012 - Abstract—In this letter, a dielectric-modulated GAA MOSFET with vacuum gate dielectric is proposed for enhanced sensitivity for label-free ...
1756

IEEE ELECTRON DEVICE LETTERS, VOL. 33, NO. 12, DECEMBER 2012

Numerical Model of Gate-All-Around MOSFET With Vacuum Gate Dielectric for Biomolecule Detection Rajni Gautam, Member, IEEE, Manoj Saxena, Senior Member, IEEE, R. S. Gupta, Life Senior Member, IEEE, and Mridula Gupta, Senior Member, IEEE

Abstract—In this letter, a dielectric-modulated GAA MOSFET with vacuum gate dielectric is proposed for enhanced sensitivity for label-free detection of neutral and charged biomolecules. We developed an analytical model to model the response of GAA MOSFET in the presence of biomolecules. The model is verified with simulation results of ATLAS-3-D. Results indicate that GAA MOSFET biosensor with vacuum gate dielectric is able to serve as a highly sensitive low-power label-free biosensor along with advantages of robustness, reliability, and CMOS compatibility. Index Terms—ATLAS-3-D, biosensor, dielectric-modulated field-effect transistor (FET) (DMFET), gate-all-around (GAA) MOSFET, vacuum gate dielectric.

I. I NTRODUCTION ILICON nanowire FET biosensors have recently been demonstrated experimentally [1] for direct label-free realtime detection of DNA and proteins with high sensitivity and selectivity. To further increase the sensitivity of the ISFET biosensor, a concept of dielectric-modulated FET (DMFET) [2], [3] with nanogap cavity at source and drain ends was proposed. The streptavidin binding changes the dielectric constant (and capacitance) of the gate, resulting in a large shift in the threshold voltage and current of the device. In this letter, a new damage-immune GAA MOSFET biosensor with vacuum gate dielectric is proposed for the first time for enhanced sensitivity of label-free detection of neutral and charged biomolecules. FET with vacuum gate dielectric has been recently proposed in [4] where a vacuum gate dielectric is formed by a sacrificial layer deposition and removal process and is found to be resistant to radiation and stress damage [4]. In this letter, an analytical model is developed for dielectric-modulated GAA MOSFET biosensor with nanogap cavities at source and drain ends, and the analytical results are validated by the simulated results using ATLAS device simulator [5]. Impact of the radius of the Si body and vacuum dielectric thickness on the sensitivity is also investigated.

S

II. S IMULATION A PPROACH Fig. 1(a) shows the schematic structure of GAA MOSFET biosensor with nanogap cavities at the source and drain ends. Manuscript received March 20, 2012; revised August 25, 2012; accepted August 26, 2012. Date of publication September 19, 2012; date of current version November 22, 2012. This work was supported in part by UGC, Government of India, and in part by DRDO, Government of India. The review of this letter was arranged by Editor E. A. Gutierrez-D. R. Gautam and M. Gupta are with the Department of Electronic Science, University of Delhi, New Delhi 110021, India (e-mail: mridula@south. du.ac.in). M. Saxena is with the Department of Electronics and the DDU College, University of Delhi, New Delhi 110021, India. R. S. Gupta is with the Department of Electronics and Communication Engineering, MAIT, New Delhi 10086, India. Digital Object Identifier 10.1109/LED.2012.2216247

Fig. 1. Schematic structure of GAA MOSFET (a) with nanogap cavities at source and drain ends and (b) with air gap/vacuum dielectric. Device parameters are the following: Channel length L = 100 nm, length of each cavity (L1 ) = 20 nm, air gap thickness td = 9 nm, radius of silicon pillar R = 10 nm, source/drain doping ND = 1 × 1026 m−3 , and substrate doping NA = 1 × 1021 m−3 . (c) Calibration with experimental results.

Fig. 1(b) shows the schematic structure of GAA MOSFET biosensor with vacuum/air gap dielectric. In simulations, the presence of a biomolecule is considered by replacing the air gap with a dielectric material having k > 1, and the value of k depends upon the type of biomolecule (i.e., k = 2.1 for biotin–streptavidin [2], k = 5 for low-hydrated protein powders [6], and k = 1–64 for DNA [3]). In particular, when charged biomolecules such as DNA are introduced, the DMFET operation can be changed by both the dielectric constant and the strength of the charges in the gate dielectric layer. A single strand of DNA which is nonhybridized possesses both the dielectric constant and charge. Thus, the effect of a charged biomolecule is emulated in simulation by introducing fixed oxide charges in the dielectric layer. According to Kim et al. [7], a comparative study was carried out in watery and dry environments, where it was shown that dry environment has inherent advantage of overcoming limited sensitivity due to high ion concentration of the fluidic solutions; therefore, in this work, simulation has been carried out for DMFET-based biosensor under dry environment [2], [3], [7]. Calibration of model parameters used in simulation has been performed according to the experimental results [8]. Since the radius of Si pillar is greater than 5 nm, thus, quantum effects are not taken into account [9]. Closed proximity of simulated results with the experimental results as shown in Fig. 1(c) validates the choice of parameters taken in modeling and simulation. III. A NALYTICAL M ODEL F ORMULATION To derive potential distribution in the Si film, channel region is divided into three regions. Regions 1 and 3 correspond to nanogap cavity, and region 2 corresponds to region without cavity. Assuming parabolic profile in the radial direction and applying appropriate potential and electric field boundary conditions, surface potential is expressed as [10]

0741-3106/$31.00 © 2012 IEEE

φs,i (z) = Ai eki z + Bi e−ki z + φi

(1)

GAUTAM et al.: MODEL OF GAA MOSFET WITH VACUUM GATE DIELECTRIC FOR BIOMOLECULE DETECTION

Fig. 2. Surface potential as a function of position along the channel (a) for GAA MOSFET with nanogap cavities and (b) for GAA MOSFET with vacuum gate dielectric at Vgs = 0 V and Vds = 0 V.

1757

Fig. 3. Ids versus Vgs in the presence of biomolecules (a) for GAA MOSFET with cavities and (b) for GAA MOSFET with vacuum dielectric. Vds = 0.05 V. (Line) Analytical and (symbol) simulated.

where i = 1 for 0 ≤ z ≤ L1 , i = 2 for L1 ≤ z ≤ L1 + L2 , and i = 3 for L1 + L2 ≤ z ≤ L. ki is given by   (2) ki2 = (2εdi )/ εsi R2 ln(1 + td /R) and Φi is given by Φi = Vgs − Vfb − qNA /εsi ki2 + qNf /Cdi .

(3)

Vgs is gate-to-source voltage, Vfb is flatband voltage, and Cdi is capacitance per unit area of the gate dielectric of the GAA represented by εdi /((R) ln(1 + td /R)). Here εdi is the relative permittivity of the gate dielectric layer. εd1 = εd3 = 1 and εd2 = 3.9, whereas εdi = 1 in all the regions for vacuum dielectric. tsi is the Si film thickness, R is the Si pillar radius, and td is the gate dielectric layer thickness. Nf is the interface fixedcharge density for charged biomolecules. Coefficients A and B are calculated using continuity equations of electric potential and field at the interface. Complete 2-D potential is given by φi (r, z) = φs,i (z)+Cdi (Vgs −Vfb −φs,i (z)) (r2 −R2 )/2εsi R.

(4)

Subthreshold current is given by Vd

e−qV (z)/kT dV (z)

2πRμqni

Isub =

L 0



Vs

dz/

R

 .

(5)

eqφi (r,z)/kT dr

0

IV. R ESULT AND D ISCUSSION For GAA MOSFET (L = 100 nm) with vacuum dielectric (without high-k filling, i.e., εd = 1), threshold voltage is low due to weaker gate control; however, when the dielectric is changed from 1 to 5 or 10 (i.e., in the presence of biomolecules), gate control is enhanced enormously and shortchannel effects are also lowered, thus leading to an increase in threshold voltage and a decrease in subthreshold leakage current. The analytical results for GAA DMFET with L = 100 nm are in close proximity of the simulated results which are also in accordance with previously reported work [11]. This type of behavior is also demonstrated experimentally in [12] for multichannel MOSFET where HfO2 -based device has greater threshold voltage in comparison to SiO2 dielectric. In the case of charged biomolecules, threshold voltage is further increased, and subthreshold current decreases due to increase in flatband voltage because of negative interfacial charges as illustrated in [11]. When biomolecules are present in the nanogap cavity (vacuum gate dielectric), change in surface potential as shown in Fig. 2 and change in subthreshold current as shown in Fig. 3 are much greater for GAA MOSFET with vacuum gate dielectric as compared to GAA MOSFET with cavity. Recently, [13] reported 500 times improvement in protein detection limit by operating NW FET in subthreshold regime. This can be attributed to the additional band bending due to charges possessed by the charged biomolecules in subthreshold

Fig. 4. (a) Impact of channel length on sensitivity for R = 25 nm. (Line) Analytical and (symbol) simulated. () εd = 1, (x) εd = 2, (◦) εd = 5, and (Δ) εd = 10. (b) Impact of channel radius on sensitivity for L = 100 nm. (c) Comparison between different architectures for change in Ioff . (d) Change in Ion . (e) Change in Ioff for nonuniform distribution. (f) Type of profile: Nf 1 = −6 × 1011 cm−2 , Nf 2 = −1 × 1011 cm−2 , and Nf 3 = −1 × 1010 cm−2 .

region in the absence of Fermi level pinning. Furthermore, the impact of dielectric change on subthreshold characteristics is less visible for long-channel GAA MOSFET; however, for short-channel GAA MOSFET, the effect of dielectric change is much more pronounced as shown in Fig. 4(a). Fig. 4(b) shows that sensitivity is higher for thicker silicon body (i.e., higher radius) because when dielectric constant is changed for higher values of radius, the effect is translated in greater change in off current due to greater change in effective gate control. Interestingly, this is opposite to the traditional results that a smaller radius shows enhanced sensitivity due to an increase in surface–volume ratio. The advantage of large surface-tovolume ratio applies to surface detection sensors [1]. In GAA DMFET, larger radius leads to greater change in Ioff which is in accordance with [14]. Also, in the case of charged biomolecules, change in band bending is equal to

1758

qNf /Cdi , and for larger radius (R), Cdi is small which means greater change in flatband voltage and current due to fixed interface charges and, thus, greater sensitivity. Fig. 4(c) and (d) shows the comparison between bulk DMFET with cavity, bulk DMFET with vacuum gate dielectric, GAA DMFET with cavity, and GAA DMFET with vacuum gate dielectric. All devices are optimized for the same threshold voltage (i.e., Vth = 0.38 V). As can be seen from Fig. 4(c), GAA MOSFET with vacuum gate dielectric shows maximum change in Ioff because of large surface area occupied by the biomolecules. Furthermore, the change in surface potential (ΔΦs ) for Vgs = 0 V, εd = 5, and Nf = −1 × 1011 cm−2 is 10 (20 mV) and 55 mV (215 mV) for DMFET with cavity and DMFET with vacuum gate for bulk MOSFET (GAA MOSFET), respectively. The smaller (larger) difference in Ioff for bulk (GAA) MOSFET as one moves from cavity to vacuum architecture [see Fig. 4(c)] is attributed to the smaller (larger) value of ΔΦs,vacuum /ΔΦs,cavity , i.e., 5.5 (10.7) times, due to smaller (larger) area occupied by the charged biomolecules. Fig. 4(d) shows that change in on current (Ion ) due to biomolecules is very small for all devices as compared to change in off current [Fig. 4(c)] which further decreases in the case of charged biomolecules, thus justifying the usage of subthreshold region of operation for sensing applications [13]. As seen in Fig. 4(a), as the dielectric constant of the biomolecules (εd ) increases, the rate of change in Ioff decreases, but the sensitivity (Ioff without biomolecules /Ioff with biomolecules ) is still higher for GAA MOSFET with vacuum dielectric in comparison to other architectures even for εd = 5 and 10 as shown in Fig. 4(c). To accommodate larger biomolecules, the thickness of the air gap is increased from 9 to 15 nm. Fig. 4(c) also shows the comparison of sensitivity at the two air gap thicknesses, and it clearly shows that sensitivity improves for larger air gap thickness because of larger change in Ioff [10]. Furthermore, during hybridization, there is also a possibility that the target molecules will start to bind near the source and they will subsequently introduce a steric hindrance effect to slow down further hybridization down the channel. This will lead to a reduction in the size of the air gap where binding takes place (here denoted by Lbio ) from the actual case (L = 100 nm). To account for the case, we have carried out simulation studies [as shown in Fig. 4(c)], where we have assumed Lbio to be 40 and 20 nm, but sensitivity is still much higher than the cavity device. It is also possible in a practical situation that, upon drying, only a certain fraction of gap thickness would be covered by biomolecules. When only 3 nm of the gap is covered by the biomolecules (denoted by tbio = 3 nm), then, it becomes a case of gate stack, i.e., 3 nm layer of high-k dielectric above an air gap of 6 nm. Since the effective thickness of the biomolecule has reduced, therefore, sensitivity of the biosensor is reduced (by 2.7 times) for neutral biomolecules and (by 2.5 times) for charged biomolecules with respect to column 9 in Fig. 4(c). In practical situations, the covered thickness or length depends on various parameters such as: size of the biomolecules, persistence length, surface effects including electrostatic repulsion between double-stranded DNA hybrids, and steric hindrance effects. These effects are captured by varying model parameters: Lbio and tbio . If native oxide of 2 nm is also present, there is no significant effect on the sensitivity as change in gate capacitance due to change in dielectric constant remains unaffected (i.e., tbio is the same). Fig. 4(e) shows the effect of nonuniform occupation of biomolecule and charge distribution in radial and longitudinal directions on sensitivity. Nonuni-

IEEE ELECTRON DEVICE LETTERS, VOL. 33, NO. 12, DECEMBER 2012

formity has been considered by choosing different dielectric thicknesses and charge densities along the channel as shown in Fig. 4(f)(i) when biomolecules are attached to the gate electrode and Fig. 4(f)(ii) when biomolecules are attached to the channel. As can be seen from Fig. 4(e) when nonuniformity is considered in radial and longitudinal directions, sensitivity is reduced; however, GAA MOSFET with vacuum dielectric still has the highest sensitivity among all the architectures and, thus, is the most suitable architecture for biosensing applications. V. C ONCLUSION GAA MOSFET with vacuum dielectric has shown high sensitivity toward detection of biomolecules (both neutral and charged) in subthreshold region, and the sensitivity of the device can be further increased by increasing the radius of the silicon nanowire. Effective gate control and ideal subthreshold characteristics make GAA MOSFET with vacuum gate dielectric a promising candidate for ultrasensitive, small, low-power, robust, damage-immune, and reliable CMOS biosensor. R EFERENCES [1] X. T. Vu, R. G. Moulick, J. F. Eschermann, R. Stockmann, A. Offenhäusser, and S. Ingebrandt, “Fabrication and application of silicon nanowire transistor arrays for biomolecular detection,” Sens. Actuators B, Chem., vol. 144, no. 2, pp. 354–360, Feb. 2010. [2] H. Im, X. J. Huang, B. Gu, and Y. K. Choi, “A dielectric-modulated field effect transistor for biosensing,” Nat. Nanotechnol., vol. 2, no. 7, pp. 430– 434, Jul. 2007. [3] C.-H. Kim, C. Jung, H. G. Park, and Y.-K. Choi, “Novel dielectric modulated field-effect transistor for label-free DNA detection,” Biochip J., vol. 2, no. 2, pp. 127–134, Jun. 2008. [4] J. W. Han, J. H. Ahn, and Y. K. Choi, “Damage immune field effect transistors with vacuum gate dielectric,” J. Vac. Sci. Technol. B, vol. 29, no. 1, pp. 011014-1–011014-4, Jan. 2011. [5] SILVACO Int., ATLAS User’s Manual: 3-D Device Simulator, 2010. [6] C. Ionescu-Zanetti, J. T. Nevill, D. Di Carlo, K. H. Jeong, and L. P. Lee, “Nanogap capacitors: Sensitivity to sample permittivity changes,” J. Appl. Phys., vol. 99, no. 2, pp. 024305-1–024305-5, Jan. 2006. [7] J. Y. Kim, J.-H. Ahn, S.-J. Choi, M. Im, S. Kim, J. P. Duarte, C. H. Kim et al., “An underlap channel embedded field-effect transistor for biosensor application in watery and dry environment,” IEEE Trans. Electron Devices, vol. 11, no. 2, pp. 390–394, Mar. 2012. [8] K. D. Buddharaju, N. Singh, S. C. Rustagi, S. H. G. Teo, G. Q. Lo et al., “Si-nanowire CMOS inverter logic fabricated using gate-all-around (GAA) device and top-down approach,” Solid State Electron., vol. 52, no. 9, pp. 1312–1317, Sep. 2008. [9] A. Tsormpatzoglou, D. H. Tassis, C. A. Dimitriadis, G. Ghibaudo, G. Pananakakis, and R. Clerc, “A compact drain current model of shortchannel cylindrical gate-all-around MOSFETs,” Semicond. Sci. Technol., vol. 24, no. 7, pp. 075017-1–075017-8, Jul. 2009. [10] J. M. Choi, J. W. Han, S. J. Choi, and Y. K. Choi, “Analytical modeling of a nanogap-embedded FET for application as a biosensor,” IEEE Trans. Electron Devices, vol. 57, no. 12, pp. 3477–3484, Dec. 2010. [11] M. A. Abdi, F. Djeffal, Z. Dibi, and D. Arar, “A two-dimensional analytical subthreshold behavior analysis including hot-carrier effect for nanoscale gate stack gate all around (GASGAA) MOSFETs,” J. Comput. Electron., vol. 10, no. 1/2, pp. 179–185, Jun. 2011. [12] E. Bernard, T. Ernst, B. Guillaumot, N. Vulliet, X. Garros, V. Maffini-Alvaro, F. Andrieu, V. Barral, F. Allain, A. Toffoli, V. Vidal, V. Delaye, C. Vizioz, Y. Campidelli, O. Kermarrec, J. M. Hartmann, S. Borel, O. Faynot, A. Souifi, P. Coronel, T. Skotnicki, and S. Deleonibus, “Impact of the gate stack on the electrical performances of 3D MultiChannel MOSFET (MCFET) on SOI,” in Proc. ESSDERC, Sep. 11–13, 2007, pp. 147–150. [13] X. P. A. Gao, G. Zheng, and C. M. Lieber, “Subthreshold regime has the optimal sensitivity for nanowire FET biosensors,” Nano lett., vol. 10, no. 2, pp. 547–552, 2010. [14] J. H. Ahn, S. J. Choi, J. W. Han, T. J. Park, S. Y. Lee, and Y. K. Choi, “Investigation of size dependence on sensitivity for NW FET bio-sensors,” IEEE Trans. Nanotechnol., vol. 10, no. 6, pp. 1405–1411, Nov. 2011.