Plasma Immersion Ion Implantation (PIII)

55 downloads 414 Views 4MB Size Report
densities when the target is a 6 inch wafer [Chu98]. ... 15-9 where ve is the average electron velocity. Finally, the displacement current density which ...... isolation is used to separate photodiodes, Moon et al. from Samsung have shown that a ...
Chapter 15

Plasma Immersion Ion Implantation (PIII) Shu Qin Micron Technology, Inc., Boise, ID USA

Michael I. Current Current Scientific, San Jose, CA USA

Susan B. Felch Silicon Valley Technology Corporation, San Jose, CA USA

Nathan W. Cheung UC Berkeley, Berkeley, CA USA

Plasma Immersion Ion implantation (PIII) exploits the fundamental advantages of ion implantation using the simplest of systems, direct extraction of ions from a plasma and implantation into a surface that is either inside (or, “immersed” in) the plasma or at a boundary of the plasma. As such, PIII is one of the oldest of ion implantation techniques as well as an area of vigorous research and development of industrial applications. The efficient transfer of ions from the plasma to the target surface makes it well suited for high-dose implantation for low-energy ions. The principle industrial application for PIII is the doping of poly-Si gate electrodes, S/D HDD regions, and S/D contacts in DRAMs. Development issues for the use of PIII for ultrashallow junctions (USJ) and 3-D (FinFET, vertical transistor, etc.) devices are discussed.

Chapter Contents 1.0 Introduction 2.0 Plasma Dynamics for DC & Pulsed Plasmas 3.0 Plasma-Surface Interactions 4.0 PIII Tool Design 5.0 Applications References

15-1

Page 15-2 15-4 15-12 15-20 15-24 15-41

1.0 Introduction 1.1 Early days of plasma immersion ion implantation: Goldstein to Shockley to Mizuno The practice of extracting ions from a plasma and implanting them into a target is the core concept of ion implantation. The earliest study of ions extracted from a plasma is by E. Goldstein in 1886 [Goldstein1886, Freeman86]. Goldstein’s apparatus was a glow discharge tube with a grid with multiple apertures at one end [Fig. 1.1].

Figure 1.1 Glow discharge tube of E. Goldstein with ions emerging into the vacuum to the right of a multi-aperture grid [Goldstein1886]. By 1913, J. J. Thompson was investigating the effects of ion penetration into solids, including sputtering [Freeman86]. But it was not until 1954 that W. Shockley filed for a patent [Shockley57] on “Forming Semiconductive Devices by Ionic Bombardment” using an apparatus that placed a Ge crystal target in front of a beam of ions extracted from a glow discharge BF3 plasma through a stencil mask [Fig. 1.2].

Figure 1.2 Shockley’s ion implantation patent apparatus (1954) with a BF3 glow discharge and implant mask aperture [Shockely57]. Thirty years later, in the later 1980’s, as J. Conrad was investigating the plasma immersion ion implantation (PIII) techniques for modification of surfaces [Conrad87], B. Mizuno constructed a PIII system at Matsushita for doping of semiconductors [Mizuno88] [Fig. 1.3].

Figure 1.3 Matsushita PIII apparatus (~1987) with an ECR plasma chamber on the right and wafer target platen in the chamber on the left [Mizuno88].

15-2

The early application of the Matsushita PIII system was the doping of trench sidewalls with a 5% B2H6/He plasma [Fig. 1.4] [Mizuno88]. The uniformity of the doping depth and dose in these 10:1 trenches, which was better than could be achieved by multi-angled implants and a much simpler process, launched the interest in PIII for semiconductor processing that continues to this day [Chu96].

Figure 1.4 SEM image of PIII doped trenches using the Matsushita apparatus [Mizuno88]. The trench openings were 0.45 µm wide and the depth was 4 µm. The applications of PIII for semiconductor materials processing [Fig. 1.5] span an energy range from ~0.1 to ~100 keV and a dose range from ~1014 to ~1018 ions/cm2 and are described in more detail later in this chapter. Plasma doping is currently being used in production for two of these applications (DRAM polysilicon counter-doping and S/D HDD doping) and now represents about 10% of the total market for ion implantation equipment. Varian’s PLAD sales for 2007 and 2008 were $64M (out of $1,337M total) and $67M (out of $798M total), respectively [Varian10]. It is estimated that about 100 plasma doping tools have been sold to date to the install base.

PIII Process Space 1E+19

SPIMOX (O+)

Dose (ions/cm2)

1E+18

TFT Hydrogenation (H+) Low-k (F+,C+)

1E+17

Layer transfer (H+, He+)

1E+16 1E+15 1E+14

Poly gates (P+,B+)

Gettering (Ar+,He+)

S/D Contacts (As+, B+, N+) S/D & SDE doping (As+,B+)

PV contacts (As+,P+) PV doping (P+,B+)

1E+13 0.1

1

10

100

1000

Energy (keV) Figure 1.5 Applications range for PIII for processing of semiconductor materials.

15-3

2.0 Plasma Dynamics for DC & Pulsed Plasmas 2.1 Physics of Plasma Immersion Ion Implantation – a Synopsis The physical principle of plasma immersion ion implantation (PIII) is illustrated in Fig. 2.1. By immersing a negatively biased target inside the plasma, electrons will be repelled near the target surface region and a “sheath” of positive ions will be established. The positive ions will be attracted by the negative target potential and gather kinetic energy while traversing the sheath region. Under collisionless conditions, the maximum implantation energy is approximately the potential sustained by the sheath thickness. As ions are implanted, the sheath will expand to uncover more ions. Replenishment of the positive ions inside the sheath is provided by two mechanisms: (1) from the bulk plasma via a diffusion mechanism through the boundary of the sheath where the ions propagate at the Bohm velocity, (~ 105 cm/sec); and (2) by sheath expansion. With efficient plasma sources (e.g., ICP or ECR) to maintain a high ion density (≈ 1011 ions/cm3), one can obtain a high PIII flux of 1016 ions/cm2 per second with DC bias.

Plasma Sheath Region

wafer

Wafer Holder

- V ( t )

Figure 2.1 Schematic of a negatively bias target immersed in plasma. The sheath thickness is controlled by the applied bias; increasing with voltage and decreasing with higher plasma ion density. It is important to keep the sheath contained within the reactor chamber and to ensure that sheaths do not overlap between parts in the case of batch processing. The characteristics of the sheath during the PIII process are very important for the optimal design of the PIII configuration and process control. For example, the sheath thickness is critical to the chamber design (chamber size > sheath thickness plus target size for a stable plasma) and monoenergetic ion implantation (s/λ < 1 for collisionless plasma, where s is the sheath thickness and λ is the mean free path of ion-neutral charge exchange collision in the sheath). This is particularly important to applications such as SPIMOX or ion-cutting processes, where a peaked implant profile beneath the surface is desired. Typical PIII processes use pulsed bias waveforms, mainly to avoid the substrate heating problem and the limitations of bias power supply. For substrates containing insulating layers, a pulse bias waveform is necessary to capacitively couple the applied bias to the surface potential. Pulse bias is also required to minimize the voltage drop across thin gate oxides of metal-oxidesemiconductor (MOS) devices during plasma implantation. DC bias or quasi-DC bias (the flat part of each pulse is much longer than the rise-time and fall-time of the pulse) in lieu of pulse bias is desirable for mono-energetic implantation, because the ion-matrix sheath characteristics and the rise-time and fall-time of the high voltage pulse, even in a collisionless plasma, will cause a broadened energy distribution of the implant ions.

15-4

Since the PIII technique does not involve ion mass separation, the plasma feed gas and ionization have to be properly chosen for each specific application. The reactor wall has to be constructed with compatible materials to avoid contamination. For high voltage PIII (> 20 kV), X-ray shielding is necessary to block the radiation generated from secondary electrons. The substrate holder has to be designed to avoid electrical arcing and to provide sufficient heat sinking [Iyer96, Iyer97]. 2.2 PIII Modeling There are two dominant methods for PIII modeling. First, and probably most accurate, is Particlein-Cell or similar simulators [Birdsall85]. These simulations make few assumptions, resulting in the most accurate profiles. However, they suffer from long execute times, and generally provide little physical insight. The second method relies on analytical equations and usually assumes that the ion transit time (~ 50 ns) across the sheath is small compared with the rise/fall time of the applied bias [Stewart91, Qin91, Qin92, En94, En95, Chu98]. With this assumption, the implant energy is simply equal to the ion charge times the instantaneous applied voltage. The obvious advantage is the physical insight afforded and the simple extraction of scaling. However, this approach may generate underestimation of the low energy component, especially for very fast rise and fall times of the bias voltage. For these extreme conditions, a more refined model has to be used [Linder01]. For simplicity, we will limit the PIII discussion here to a planar geometry with a single ion specie. A comprehensive discussion on two and three dimensional PIII can be found in the review chapter by Rej et al. [Rej00] and [Qin99]. Comprehensive discussion on multi-ion species and multi-charged PIII modeling can be found in [Qin95, Qin96a]. Effects of dielectric substrates, plasma-induced oxide charging, as well as surface etching and deposition will be discussed in subsequent sections. 2.2.1 DC or Quasi-DC PIII The DC sheath thickness can be characterized by the well-known Child-Langmuir Law in a onedimensional planar system [Child1911], 3/ 2

4 2q VS ji = ε 0 , 9 M s2 and the steady state ion current at the sheath edge with a Bohm acoustic speed u B = ( qTe / M )1 / 2 , and

ji = q ni uB , where ji is the ion current density crossing the sheath edge, εo is the free-space permittivity, q is the ion charge, M is the ion mass, VS is the absolute value of the applied potential, s is the sheath thickness, ni is the ion density, and Te is electron temperature in volts. The steady state sheath thickness sC can be obtained by equating the space-charge-limited current with the steady state ion current at the sheath edge with a Bohm acoustic speed giving [Chu98]:

sC = s0

2 9

 2 VS   Te

1/ 4

  , 

15-5

in which s0 is the ion-matrix sheath defined as the sheath formed when t = 0 but t is longer than the electron response time (~ωpe-1 ) and shorter than the ion response time (~ωpi-1), and is given by .

s0 =

2 ε 0 VS q ni

.

We can see that, like the ion-matrix sheath s0, the DC sheath sC, is independent of the ion species. Fig. 2.2 shows plots of the steady state sheath thickness versus the applied DC potential for different ion densities. For a -50 kV DC potential, the sheath thicknesses are 52.4, 16.6, and 5.24 cm when the ion densities are 1×109, 1×1010, 1×1011 /cm3, respectively.

Figure 2.2 Steady state sheath thickness versus DC biasing for different ion density [Chu98]. After the steady state sheath is determined, the implant ion current, which is also a steady state current, can be obtained directly from the Child-Langmuir Law: 3/ 2

4 2q VS ji = ε 0 , 9 M s2 and the implant dose-rate can be calculated by dose-rate = ji /q (at./cm2-sec). Fig. 2.3 shows the implant steady state ion current versus DC biasing in an oxygen plasma for the different ion densities when the target is a 150-mm wafer. The ion current is almost independent of the applied potential. For a -50 kV DC potential and a 150-mm wafer, the implant ion currents are 0.01, 0.102, and 1.02 A when the ion densities are 1×109, 1×1010, 1×1011 /cm3, respectively. The current values translate to implant dose-rates of 3.5×1014, 3.5×1015, and 3.5×1016 /cm2-sec when the ion densities are 1×109, 1×1010, 1×1011 /cm3, respectively.

15-6

Figure 2.3 Steady-state ion current versus DC biasing in an oxygen plasma for different ion densities when the target is a 6 inch wafer [Chu98].

MEAN FREE PATH λi (cm)

The plot of λi versus gas pressure for the case of O+ (16 amu) in an oxygen plasma is shown in Fig. 2.4. The sheath thickness s is ~17 cm when the potential is -50 kV and the ion density is 1×1010 /cm3. However, the real sheath thickness is thinner if the two or three-dimensional effect [Qin99] is taken into account and is roughly half of the one-dimension results, that is s ~8 cm. To meet the collisonless assumption, one has to use a gas pressure less than 2 mTorr.

OPERATING PRESSURE (mTorr) Figure 2.4 Mean free path λi in an oxygen plasma versus the operating pressure. O+ is taken as the dominant ion specie in the oxygen plasma [Chu98].

15-7

2.2.2 Pulsed PIII Balancing the Child current density with the uncovered ions due to the moving sheath boundary and the ambipolar diffusion of ions towards the sheath boundary at the Bohm velocity, one obtains: 3/ 2

4 2q VS  ds  ji = ε 0 = q ni  + u B , 2 9 M s  dt  where VS is the sheath voltage drop and s is the sheath thickness. This equation can be rearranged to solve the time dependence of the sheath thickness s(t) for any time-varying sheath voltage drop VS(t):

s 2 (t )

ds (t ) 4 ε 0 (2q / M )1 / 2 + s 2 (t ) u B = [VS (t )]3 / 2 dt 9 q ni

Once s(t) is solved, the energy distribution and the ion current density ji can both be determined. 2.2.3 PIII Current Components The time-dependent total substrate current density Jsub consists of four components:

J sub = J ion + J sec + J elec + J disp , where Jion is the plasma ion current density, Jsec is the secondary electron current density, Jelec is the plasma electron current density, and Jdisp is the displacement current density [Fig. 2.5].

Figure 2.5. Schematic showing the four current components of the substrate current of PIII. The secondary electron current density has a

J sec = α

V S dependence [Szapiro89]:

VS J ion ,

with a material constant α. The plasma electron current density can be characterized by a singletemperature Boltzmann distribution:

1 J elec = − q n0 ve e ( − qV S / kTe ) , 4

15-8

where ve is the average electron velocity. Finally, the displacement current density which includes the currents from the changing sheath potential and the changing sheath capacitance is:

J disp = CS

dVS dCS + VS , dt dt

where CS is the sheath capacitance per unit area. To solve the above equations simultaneously requires knowledge of several plasma parameters: the ion density n0, electron temperature Te, plasma potential VP and floating potential Vf. All these plasma parameters can be measured from a single Langmuir probe experiment. Using this methodology, the substrate current density has been accurately predicted for all arbitrary bias waveforms [En96a]. Fig. 2.6 shows the good agreement between substrate current predicted by the plasma model and the measured total substrate current of an aluminum target immersed in an argon plasma. Once the I(t) and V(t) are both known, the energy distribution can be deduced from these data.

Figure 2.6 Measured and calculated current pulses for an Ar plasma PIII [En96a]. 2.2.4 Secondary electron yield Secondary electron yield (γ) for ion-solid interactions is very sensitive to the surface conditions. Data are available for not many ion-target combinations and published results from ion beam experiments may not be representative of PIII conditions [Szapiro89, Holmen81, Svensson81a, Svensson81b, Baragiola78, Alonso80, Delaunay87]. PIII (argon and nitrogen) results on metal targets have been reported by Shamim et al. [Shamim91] up to 40 kV with γ ranging from 5 to 19. En et al. [En96b] reported γ values for Si, SiO2, Al, and TiN from 2 to 10 with Ar PIII (bias from 2 to 20 kV). Qin et al. [Qin02] used an in-situ Faraday cup to directly measure ion currents and reported γ values on Si substrate from ~ 0.3 to 4 with Ar, He, H2, BF3, N2, O2 PIII when bias is from 0.5 to 10 kV. All three groups found γ to increase with the

VS dependence, in agreement

with the Szapiro’s results [Fig. 2.7] [Fig. 2.8]. A high secondary electron yield is undesirable in PIII processing because it wastes power of the bias supply, creates heating of chamber walls, and generates X-rays from the chamber wall material.

15-9

Figure 2.7 Secondary electron yields with argon PIII [En96a].

Figure 2.8 Secondary electron yield γi for silicon as a function of incident ion energy. Smooth curves are fits to γi = A× Ei (keV ) [Qin02]. 2.2.5 Construction of energy spectrum from substrate current-voltage waveforms Since measurement of the bias voltage (V) and implanted current (I) waveforms versus time is already a common diagnostic tool in PIII systems, one can use these measured waveforms to derive the energy spectrum of the implanted specie during each implant pulse [Jones97]. From this energy spectrum, the implant profile can be constructed, in principle. The current and voltage waveforms measured during a 5 kV, 5 kHz, BF3 implant are shown in Fig. 2.9. The current waveform is the total current drawn by the implanter, and the bias waveform is measured on the wafer holder. Fig. 2.10 shows the energy spectrum of implanted ions during the 1 µsec, 5 kV PIII pulse. This energy spectrum is obtained assuming the secondary electron yield is known. The energy resolution in the figure is 100 eV; resolution of 10 eV is used for the actual profile construction.

15-10

Figure 2.9 a) Bias waveform applied to PIII wafer holder during implantation showing duration of rise time, on time and fall time of pulse; b) Total current drawn by implanter during application of voltage pulse shown in a). Total current is approximately equal to the ion current plus secondary electron current [Jones97]. The energy spread due to the rise time is not nearly as severe as that due to the fall time. As can be seen from the figure, the long fall time of the wafer bias contributes most to the energy spread of the implant, even though the implant current during the fall time is low and exponentially decreasing. To reduce this spread in the energy the pulse fall time must be reduced. The slow fall time in this PIII system is a function of the matching network, which can be improved to yield more mono-energetic implants. Increasing the pulse on time to make the high energy ions a greater fraction of the total implant dose is not a good solution: when the on-time is increased beyond 1-2 ms, the positive charge deposited on the surface by the implant may be large enough to cause thin oxide charging damage or breakdown [En96c].

Figure 2.10 Energy spectrum of PIII implant, showing dose of ions implanted per energy, assuming ions see instantaneous bias and sheath is collisionless. Energy resolution in figure is 100 eV and minimum energy for ion to implant is taken as 50 eV. Secondary ion yield adjusted for ion species present and substrate material [Jones97].

15-11

3.0 Plasma-Surface Interactions 3.1 Incorporated Dose with Surface Etching (or Sputtering) and Deposition In the presence of a chemically reactive plasma, the substrate can be removed by reactive ion etching (common with a fluoride plasma like BF3) or have a thin deposited surface layer (common with hydride plasmas such as B2H6 and AsH3) [Shao95, Qin96, Qin07, Qin09a, Qin09b, Qin12a]. Enhanced oxidation can also occur due to the large number of broken bonds created by the near-surface damage, and this can have the same end effect as chemical etching after the oxide is removed during photoresist strip and clean [Qin09c, Qin10a]. For PIII dosimetry control and Si surface structure, both etching and deposition have to be minimized. The concomitant occurrence of implantation and etching can change the accumulative implantation profile because the solid surface recedes continuously during the implantation. Let g(x) be the implantation profile per unit time and v (>0) be the substrate etching rate. The accumulated implantation profile C(x, t) after an implantation time t is a simple convolution [Shao95]: t C (x, t) = ⌡ ⌠g(x-vt')dt' 0 Since there is substrate material being removed, the retained dose in the substrate is: ∞ Q (t) = ⌡ ⌠C(x,t)dx vt Under steady-state conditions (i.e., t→∞), the incorporated dose will asymptotically reach a constant value, independent of the total implantation dose. Similar to the etching case, any thin film deposited on the substrate surface during implantation also affects the overall implantation profile. With v 50 mTorr are required to ignite and sustain such a plasma, so this technique is not compatible with the voltages needed (