Pushing the Limits of Lithography for IC Production

0 downloads 0 Views 197KB Size Report
Pushing the limits of lithography for IC production. T. Brunner. IBM SRDC. Zip AP1, 1580 Route 52, Hopewell Junction, NY 12533, [email protected].
For individual use by an IEEE Electron Devices Society member purchasing this product.

Pushing the limits of lithography for IC production T. Brunner IBM SRDC Zip AP1, 1580 Route 52, Hopewell Junction, NY 12533, [email protected] Abstract Advanced lithography approaches will be reviewed from the point of view of volume production applications. Three key questions will be considered: 1. At what design rule will optical lithography die? 2. What are the requirements for a production-worthy lithography? 3. What are the prospects for a non-optical lithography meeting all of these requirements? The high productivity and maturity of optical lithographic processes constitutes a huge “activation energy barrier” that any non-optical approach must break through. At the present time, all non-optical lithographic techniques are far behind the overall production capability of optical techniques. 1[ X-ray proximity printing is the most mature post-optical lithography.

Design

mask writing process (e-beam or laser)

Mask lith tool resolution and aberrations

Aerial image

Latent image

wafer reflections thin film effects

Real image

photochemistry PEB diffusion

development

Resist image Device layer

pattern transfer RIE, ion implant,etc

Figure 1. Information flow in lithography Introduction The lithographic process can be thought of as a flow of information, as illustrated in Fig. 1, beginning with the imagination of a designer and ending up as a physically patterned device layer. The information is subject to noise and distortion at each step along the way. The fabrication of a mask from the designer’s CAD file is a full lithographic process in itself, subject to various imperfections such as corner rounding, pattern placement errors, etc. Diffraction effects, as well as lens aberrations[1,2] and other optical imperfections[3], limit the resolution of the wafer exposure tool. The process films on the wafer cause reflections which further disrupt the image through standing waves and thin film interference effects[4]. Chemical diffusion effects within the resist degrade the sharpness of the photochemical latent image[5]. Wet development limits the final resist aspect ratio due to surface tension effects[6]. Finally, the resist pattern is transferred into the device layer by processes such as RIE with complications and imperfections beyond the scope of the present paper. The amount of information to be transferred in each exposure can be measured in pixels, where a pixel is a small square whose side is equal to the minimum printable linewidth. Gigabit DRAM patterns need roughly 1010

lithographic pixels per chip, equivalent to 10,000 megapixel computer monitors. We now consider the requirements for a lithographic process to be used in volume production of IC devices: ♦ Working Resolution, i.e. small pixel size • Ability to print all desired patterns to within linewidth control specification, e.g. ±0.15 pixel • Process Window large enough to contain exposure and focus variations occurring in production • Adequate pattern fidelity over wafer topography from previous levels ♦ Overlay Capability • Ability to overlay current layer to previously defined layers to within 0.30 pixel • Compensate for wafer size changes due to processing • Machine to machine matching required for production, including tool mix and match ♦ Productivity • High throughput, e.g. 100 wafers/hour • Highly accurate patterns – 1 bad pixel can kill chip • Reasonable equipment cost, footprint, reliability • Mask availability and cost • Resist process cost

0-7803-4103-1 $10.00 (c) 1997 IEEE

For individual use by an IEEE Electron Devices Society member purchasing this product.

Limits of optical Lithography

Table 1. Wavelengths for optical lithography.

The fundamental limits of the resolution of optical lithography are captured by the well-known Rayleigh scaling equations[7] Wmin = k1 × λ/NA

(1)

DOF = λ/NA

(2)

2

where Wmin is the minimum linewidth, DOF is the Rayleigh Depth Of Focus, λ is the exposure wavelength and NA is the numerical aperture of the projection optics. Let us now consider the progress in each of these three factors. A. Exposure Wavelength There is a strong motivation to shrink wavelength since minimum linewidth scales proportionally. Table 1 lists several wavelengths of interest to optical lithography, as well as the resolution and DOF for NA=0.7 optics at k1=0.5. The fraction ∆λ/λ represents the driving force to jump to a given wavelength from the previous wavelength. The 47% drop in wavelength is a strong motivation to move from I-line to KrF DUV lithography. The 28% jump from KrF to ArF is larger than the 19% jump from G-line to I-line. A large international research effort, informally coordinated by SEMATECH[8], is currently aimed at the realization of 193nm lithography. Our internal efforts have focused on new resist materials for Single Layer Resist (SLR) processes. Fig. 2 shows an experimental SLR process[9] using a 200nm resist thickness. Both isolated and dense 120nm lines print nicely using a Chrome On Glass (COG) reticle and σ=0.7 illumination. While considerable challenges in lens material stability, pellicle lifetime, resist processing(especially etch resistance), and laser source stability remain, the future of 193nm lithography looks promising. Pilot line tools will be available in 1999, and full production is likely to begin in the 2002 time frame. The F2 laser, at 157nm, would seem to offer another incremental advance for optical lithography. Fused silica, the well understood mainstay of 248nm and 193nm optics, has unacceptable transmission at 157nm. Calcium fluoride, CaF2, is the most promising material for refractive elements, but large pieces with the required quality are not available. Progress in 193nm projection optics will drive learning to improve the quality of the CaF2 material and polishing techniques. Transmission mask blanks, for similar reasons, would have to move to CaF2. Unfortunately, the thermal expansion coefficient is 19ppm/°C, roughly 40 times larger than current fused silica blanks, which complicates mask

Wmin and DOF assume 0.7NA optics and k1=0.5

G-line I-line KrF ArF F2 Ar2

λ nm 436 365 248 193 157 126

∆λ/λ λ % 19 47 28 23 25

Wmin nm 311 260 175 140 112 90

DOF nm 850 730 500 400 320 257

pattern placement accuracy both at the exposure tool and the mask writing tool. High absorption in air forces the use of dry nitrogen or inert gas atmospheres, and heavy absorption within organic materials may preclude SLR processes. These barriers are significant, and may well prevent widespread applications. Research work at MIT Lincoln Laboratories is exploring the fundamental issues of 157nm lithography[10]. The prospects of 126nm lithography are even more remote. The Ar2 sources are too weak and unreliable for a practical system. The optics would have to be all-reflective, with difficult aspheric surfaces and NA probably limited to 0.55. Many other challenges of working in the vacuum ultraviolet arise, such as optical coating stability, reflective masks, new resist processes, etc.

Figure 2. SEM photograph of 120nm resist lines imaged by a .6NA 193nm micro-stepper.

0-7803-4103-1 $10.00 (c) 1997 IEEE

For individual use by an IEEE Electron Devices Society member purchasing this product.

B. Numerical Aperture

C. k1 factor

The numerical aperture of an optical system in air has an obvious limit of NA0.8, the printing process is relatively easy. One can use conventional imaging techniques, e.g. COG mask with σ=0.6, and assume that the exposure process simply replicates the mask pattern. The process is relatively tolerant of lens aberrations and mediocre resist performance, and the process windows are large. As k1 shrinks, the imaging process becomes less tolerant of any imperfections, and process windows decay. The assumption that the exposure process simply replicates the mask pattern begins to break down, and Optical Proximity Correction(OPC) methods[13] become necessary. When k1