Thin Films of Copper Oxide and Copper Grown by Atomic ... - Qucosa

4 downloads 2438 Views 26MB Size Report
3.3 Material Characterization and Analysis Techniques . . . . . . . . . . . 63. 3.3.1 Film Morphology, ... 4.2 Optimization and Characterization of the ALD Processes on Different. Substrates . ...... (B.8), outlined in the Appendix on page 172. For the.
Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices Dünne Schichten von Kupferoxid und Kupfer hergestellt mittels Atomlagenabscheidung zur Anwendung in Metallisierungssystemen mikroelektronischer Bauelemente

Von der Fakultät für Elektrotechnik und Informationstechnik der Technischen Universität Chemnitz genehmigte

Dissertation zur Erlangung des akademischen Grades Doktoringenieur (Dr.-Ing.) vorgelegt

von: geboren am: in:

Dipl.-Ing. Thomas Wächtler 31. Januar 1979 Karl-Marx-Stadt (jetzt Chemnitz)

eingereicht am:

2. Dezember 2009

Gutachter:

Prof. Dr. Thomas Geßner Prof. Dr. Heinrich Lang Prof. Dr. Thomas Mikolajick

Tag der Verleihung:

25. Mai 2010

Bibliographische Beschreibung Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices Wächtler, Thomas – 245 S., 90 Abb., 56 Tab., 299 Lit. Technische Universität Chemnitz, Fakultät für Elektrotechnik und Informationstechnik Dissertation (in englischer Sprache), 2009

Referat Kupferbasierte Mehrlagenmetallisierungssysteme in heutigen hochintegrierten elektronischen Schaltkreisen erfordern die Herstellung von Diffusionsbarrieren und leitfähigen Keimschichten für die galvanische Metallabscheidung. Diese Schichten von nur wenigen Nanometern Dicke müssen konform und fehlerfrei in strukturierten Dielektrika abgeschieden werden. Die sich abzeichnende weitere Verkleinerung der geometrischen Dimensionen des Leitbahnsystems erfordert Beschichtungstechnologien, die vorhandene Nachteile der bisher etablierten Physikalischen Dampfphasenabscheidung beheben. Die Methode der Atomlagenabscheidung (ALD) ermöglicht es, Schichten im Nanometerbereich sowohl auf dreidimensional strukturierten Objekten als auch auf großflächigen Substraten gleichmäßig herzustellen. Die vorliegende Arbeit befasst sich daher mit der Entwicklung eines ALD-Prozesses zur Abscheidung von Kupferoxidschichten, ausgehend von der metallorganischen Vorstufe Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat [(n Bu3 P)2 Cu(acac)]. Dieses flüssige, nichtfluorierte β-Diketonat wird bei Temperaturen zwischen 100 und 160°C mit einer Mischung aus Wasserdampf und Sauerstoff zur Reaktion gebracht. ALD-typisches Schichtwachstum stellt sich in Abhängigkeit des gewählten Substrats zwischen 100 und 130°C ein. Auf Tantalnitrid- und Siliziumdioxidsubstraten werden dabei sehr glatte Schichten bei gesättigtem Wachstumsverhalten erhalten. Auch auf Rutheniumsubstraten werden gute Abscheideergebnisse erzielt, jedoch kommt es hier zu einer merklichen Durchmischung des ALD-Kupferoxids mit dem Untergrund. Tantalsubstrate führen zu einer schnellen Selbstzersetzung des Kupferprecursors, in dessen Folge neben geschlossenen Schichten während der ALD auch immer isolierte Keime oder größere Partikel erhalten werden. Die mittels ALD gewachsenen Kupferoxidschichten können in Gasphasenprozessen zu Kupfer reduziert werden. Wird Ameisensäure als Reduktionsmittel genutzt, können diese Prozesse bereits bei ähnlichen Temperaturen wie die ALD durchgeführt werden, so dass Agglomeration der Schichten weitgehend verhindert wird. Als besonders vorteilhaft für die Ameisensäure-Reduktion erweisen sich Rutheniumsubstrate. Auch für eine Integration mit nachfolgenden Galvanikprozessen zur Abscheidung von Kupfer zeigen sich Vorteile der Kombination ALD-Kupfer/Ruthenium, insbesondere hinsichtlich der Qualität der erhaltenen galvanischen Schichten und deren Füllverhalten in Leitbahnstrukturen. Der entwickelte ALD-Prozess besitzt darüber hinaus Potential zur Integration mit Kohlenstoffnanoröhren. Stichworte Ameisensäure, Atomlagenabscheidung (ALD), Beta-Diketonat, Galvanik, Kupfer, Kupferoxid, Metallisierung, Reduktion, Ruthenium, Tantal, Tantalnitrid, ULSI, Vorstufe

3

Bibliographische Beschreibung Abstract Copper-based multi-level metallization systems in today’s ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin-butylphosphane)copper(I)acetylacetonate [(n Bu3 P)2 Cu(acac)]. This liquid, non-fluorinated βdiketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160°C. Typical ALD-like growth behavior arises between 100 and 130°C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent electrochemical copper deposition, the combination of ALD copper and ruthenium proves advantageous, especially with respect to the quality of the electroplated films and their filling behavior in interconnect structures. Furthermore, the ALD process developed also bears potential for an integration with carbon nanotubes. Keywords Atomic Layer Deposition (ALD), Beta-Diketonate, Copper, Copper Oxide, Electroplating, Formic Acid, Metallization, Precursor, Reduction, Ruthenium, Tantalum, Tantalum Nitride, ULSI

4

Doch etwas viel Wichtigeres hatten ihn die Schafe gelehrt: daß es in der Welt eine Sprache gab, die jeder verstand [. . . ]. Es war die Sprache der Begeisterung, des Einsatzes mit Liebe und Hingabe für die Dinge, an die man glaubt und die man sich wünscht. Paulo Coelho Der Alchimist

Contents

List of Acronyms and Symbols

15

Vorwort

23

1

Introduction

25

2

Atomic Layer Deposition — Applications and Basic Principles

37

2.1

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

37

2.2

Characteristics of the ALD Technique . . . . . . . . . . . . . . . . . .

41

2.3

Surface Phenomena Underlying ALD . . . . . . . . . . . . . . . . . .

44

2.3.1

Adsorption Processes . . . . . . . . . . . . . . . . . . . . . . .

44

2.3.2

Mechanisms of Chemisorption . . . . . . . . . . . . . . . . . .

46

2.3.3

Factors that Influence the Growth Per Cycle . . . . . . . . . . .

46

2.3.4

Growth Modes . . . . . . . . . . . . . . . . . . . . . . . . . .

50

ALD of Metal Films . . . . . . . . . . . . . . . . . . . . . . . . . . .

52

2.4.1

Base and Noble Metals . . . . . . . . . . . . . . . . . . . . . .

52

2.4.2

Copper . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

53

2.4

3

Experimental Approach for Developing a Copper Oxide ALD Process

59

3.1

Precursor Considerations . . . . . . . . . . . . . . . . . . . . . . . . .

59

3.2

ALD Equipment and Process Conditions . . . . . . . . . . . . . . . . .

61

3.3

Material Characterization and Analysis Techniques . . . . . . . . . . .

63

3.3.1

Film Morphology, Structure, and Adhesion . . . . . . . . . . .

64

3.3.2

Film Thickness and Optical Properties . . . . . . . . . . . . . .

65

3.3.3

Sample Composition and Chemical State . . . . . . . . . . . .

66

7

Contents 4

ALD Processes for Copper Oxide Growth

69

4.1

Initial Screening Experiments . . . . . . . . . . . . . . . . . . . . . . .

69

4.1.1

CVD Studies . . . . . . . . . . . . . . . . . . . . . . . . . . .

69

4.1.2

ALD Investigations with Different Co-Reactants . . . . . . . .

72

4.1.3

Conclusions from the Screening Studies . . . . . . . . . . . . .

80

4.2

4.3

5

Substrates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

82

4.2.1

Influence of Metallic Tantalum . . . . . . . . . . . . . . . . . .

82

4.2.2

Copper Oxide Films Grown on TaN, Ru, and SiO2 . . . . . . .

85

Details of the Growth in the ALD Window . . . . . . . . . . . . . . . .

97

4.3.1

ALD on SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . .

98

4.3.2

ALD on TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

4.3.3

ALD on Ru . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111

Seed Layers for the Electrochemical Deposition of Copper

5.1

5.2

6

Optimization and Characterization of the ALD Processes on Different

121

Methods and Investigations to Reduce Copper Oxide Films . . . . . . . 121 5.1.1

Molecular Hydrogen and Hydrogen Plasmas . . . . . . . . . . 121

5.1.2

Organic Reducing Agents . . . . . . . . . . . . . . . . . . . . 126

Electrochemical Copper Deposition on ALD Seed Layers . . . . . . . . 135 5.2.1

TaN Diffusion Barriers . . . . . . . . . . . . . . . . . . . . . . 136

5.2.2

Ru Underlayers . . . . . . . . . . . . . . . . . . . . . . . . . . 137

Summary and Outlook

A ALD Equipment

143 159

A.1 System Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 A.2 Delivery of Precursors and Co-Reactants . . . . . . . . . . . . . . . . . 162 B Spectroscopic Ellipsometry

167

B.1 Fundamentals of the Method . . . . . . . . . . . . . . . . . . . . . . . 167 B.2 Modeling Optical Properties . . . . . . . . . . . . . . . . . . . . . . . 169

8

B.2.1

The Cauchy Model . . . . . . . . . . . . . . . . . . . . . . . . 171

B.2.2

The Lorentz-Drude Model . . . . . . . . . . . . . . . . . . . . 172

B.2.3

The Tauc-Lorentz Model . . . . . . . . . . . . . . . . . . . . . 172

B.2.4

The Leng-Lorentz Model . . . . . . . . . . . . . . . . . . . . . 173

Contents B.3 Substrate Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 B.3.1

Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

B.3.2

Silicon Dioxide . . . . . . . . . . . . . . . . . . . . . . . . . . 174

B.3.3

Tantalum Nitride . . . . . . . . . . . . . . . . . . . . . . . . . 175

B.3.4

Ruthenium . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177

B.4 Models of the ALD Films . . . . . . . . . . . . . . . . . . . . . . . . . 179 B.4.1

Copper Oxide Grown on 20 nm Dry Thermal SiO2 . . . . . . . 179

B.4.2

Copper Oxide Grown on 300 nm Wet Thermal SiO2 . . . . . . 182

B.4.3

Copper Oxide Grown on 40 nm sputtered TaN . . . . . . . . . . 185

B.4.4

Copper Oxide Grown on 100 nm evaporated Ru . . . . . . . . . 187

C Thermodynamic Considerations

193

C.1 Theoretical Background . . . . . . . . . . . . . . . . . . . . . . . . . . 193 C.2 Pathways for the Reduction of Copper Oxide . . . . . . . . . . . . . . 196 C.3 Pathways for the Reduction of Tantalum Oxide . . . . . . . . . . . . . 197 C.4 Pathways for the Reduction of Ruthenium Oxide . . . . . . . . . . . . 199 C.5 Material Property Data Used for the Calculations . . . . . . . . . . . . 200 Bibliography

203

List of Figures

225

List of Tables

231

Versicherung

235

Theses

237

Curriculum Vitae

241

Own Publications

243

9

Contents

10

Inhaltsverzeichnis

Verzeichnis der Abkürzungen und Symbole

15

Vorwort

23

1

Einleitung

25

2

Atomlagenabscheidung — Anwendungen und Grundprinzip

37

2.1

Überblick . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

37

2.2

Charakteristika des ALD-Verfahrens . . . . . . . . . . . . . . . . . . .

41

2.3

Der ALD zugrunde liegende Oberflächenphänomene . . . . . . . . . .

44

2.3.1

Adsorptionsprozesse . . . . . . . . . . . . . . . . . . . . . . .

44

2.3.2

Chemisorptionsmechanismen . . . . . . . . . . . . . . . . . .

46

2.3.3

Einflussfaktoren des Zyklenwachstums . . . . . . . . . . . . .

46

2.3.4

Wachstumsarten . . . . . . . . . . . . . . . . . . . . . . . . .

50

ALD metallischer Schichten . . . . . . . . . . . . . . . . . . . . . . .

52

2.4.1

Edle und unedle Metalle . . . . . . . . . . . . . . . . . . . . .

52

2.4.2

Kupfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

53

2.4

3

4

Experimentelle Herangehensweise zur Entwicklung eines ALD-Prozesses für Kupferoxid

59

3.1

Betrachtungen zu Vorstufen . . . . . . . . . . . . . . . . . . . . . . . .

59

3.2

ALD-Anlagentechnik und -Prozessbedingungen . . . . . . . . . . . . .

61

3.3

Methoden zur Materialcharakterisierung und -analyse . . . . . . . . . .

63

3.3.1

Schichtmorphologie, -struktur und -haftung . . . . . . . . . . .

64

3.3.2

Schichtdicke und optische Eigenschaften . . . . . . . . . . . .

65

3.3.3

Probenzusammensetzung und chemischer Zustand . . . . . . .

66

ALD-Prozesse zur Abscheidung von Kupferoxid

69

11

Inhaltsverzeichnis 4.1

4.2

4.3

5

69

4.1.1

CVD-Studien . . . . . . . . . . . . . . . . . . . . . . . . . . .

69

4.1.2

ALD-Untersuchungen mit verschiedenen Reaktionspartnern . .

72

4.1.3

Schlussfolgerungen aus den Voruntersuchungen . . . . . . . . .

80

Optimierung und Charakterisierung der ALD-Prozesse auf verschiedenen Substraten . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

82

4.2.1

Einfluss metallischen Tantals . . . . . . . . . . . . . . . . . . .

82

4.2.2

Kupferoxidschichten auf TaN, Ru und SiO2 . . . . . . . . . . .

85

Details der Schichtbildung im ALD-Fenster . . . . . . . . . . . . . . .

97

4.3.1

ALD auf SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . .

98

4.3.2

ALD auf TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

4.3.3

ALD auf Ru . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111

Keimschichten für die elektrochemische Kupferabscheidung

5.1

5.2

6

Voruntersuchungen . . . . . . . . . . . . . . . . . . . . . . . . . . . .

121

Methoden und Untersuchungen zur Reduktion von Kupferoxidschichten 121 5.1.1

Molekularer Wasserstoff und Wasserstoffplasmen . . . . . . . . 121

5.1.2

Organische Reduktionsmittel . . . . . . . . . . . . . . . . . . . 126

Elektrochemische Kupferabscheidung auf ALD-Keimschichten . . . . . 135 5.2.1

TaN-Diffusionsbarrieren . . . . . . . . . . . . . . . . . . . . . 136

5.2.2

Ru-Substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

Zusammenfassung und Ausblick

A ALD-Anlagentechnik

143 159

A.1 Systemüberblick . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 A.2 Zuführung von Vorstufen und Reaktionspartnern . . . . . . . . . . . . 162 B Spektralellipsometrie

167

B.1 Grundlagen des Verfahrens . . . . . . . . . . . . . . . . . . . . . . . . 167 B.2 Beschreibung optischer Eigenschaften . . . . . . . . . . . . . . . . . . 169 B.2.1

Das Cauchy-Modell . . . . . . . . . . . . . . . . . . . . . . . 171

B.2.2

Das Lorentz-Drude-Modell . . . . . . . . . . . . . . . . . . . . 172

B.2.3

Das Tauc-Lorentz-Modell . . . . . . . . . . . . . . . . . . . . 172

B.2.4

Das Leng-Lorentz-Modell . . . . . . . . . . . . . . . . . . . . 173

B.3 Substratmodelle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

12

Inhaltsverzeichnis B.3.1

Silizium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

B.3.2

Siliziumdioxid . . . . . . . . . . . . . . . . . . . . . . . . . . 174

B.3.3

Tantalnitrid . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175

B.3.4

Ruthenium . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177

B.4 Modelle der ALD-Schichten . . . . . . . . . . . . . . . . . . . . . . . 179 B.4.1

Kupferoxid auf 20 nm trockenem thermischen SiO2 . . . . . . . 179

B.4.2

Kupferoxid auf 300 nm feuchtem thermischen SiO2 . . . . . . . 182

B.4.3

Kupferoxid auf 40 nm gesputtertem TaN . . . . . . . . . . . . . 185

B.4.4

Kupferoxid auf 100 nm gedampftem Ru . . . . . . . . . . . . . 187

C Thermodynamische Betrachtungen

193

C.1 Theoretischer Hintergrund . . . . . . . . . . . . . . . . . . . . . . . . 193 C.2 Varianten zur Reduktion von Kupferoxid . . . . . . . . . . . . . . . . . 196 C.3 Varianten zur Reduktion von Tantaloxid . . . . . . . . . . . . . . . . . 197 C.4 Varianten zur Reduktion von Rutheniumoxid . . . . . . . . . . . . . . 199 C.5 Den Berechnungen zugrunde liegende Materialdaten . . . . . . . . . . 200 Literaturverzeichnis

203

Abbildungsverzeichnis

225

Tabellenverzeichnis

231

Versicherung

235

Thesen

237

Lebenslauf

241

Eigene Veröffentlichungen

243

13

List of Acronyms and Symbols

14

List of Acronyms and Symbols Acronyms AFM

Atomic force microscopy

ALCVD

Atomic layer chemical vapor deposition

ALD

Atomic layer deposition

ALE

Atomic layer epitaxy

ARXPS

Angle-resolved X-ray photoelectron spectroscopy

AVD

Atomic vapor deposition (trademark of Aixtron AG, Aachen, Germany)

AZO

Aluminum zinc oxide

BEOL

Back-end of line

BJT

Bipolar junction transistor

BSG

Borosilicate glass

CMOS

Complementary MOS

CMP

Chemical-mechanical polishing

CVD

Chemical vapor deposition

DRAM

Dynamic random access memory

ECD

Electrochemical deposition

ED

Electron diffraction

EDX

Energy-dispersive X-ray analysis

EELS

Electron energy loss spectroscopy

GPC

Growth per cycle, i. e. film thickness increment per each complete ALD cycle

15

List of Acronyms and Symbols iALD

Ionized atomic layer deposition (trademark of Novellus Systems, Inc., San Jose, CA, USA)

ITO

Indium-tin oxide

ITRS

International Technology Roadmap for Semiconductors

LD

Lorentz-Drude

LDS

Liquid delivery system

MBE

Molecular beam epitaxy

MLD

Molecular layer deposition

MOS

Metal oxide semiconductor

MPU

Microprocessor unit

MSE

Mean-squared error

OLED

Organic light emitting diode

PEALD

Plasma-enhanced atomic layer deposition

PVD

Physical vapor deposition

RF

Radio frequency

RIE

Reactive ion etching

RMS

Root mean square

sccm

Standard cubic centimeters per minute

SEM

Scanning electron microscopy

slm

Standard liters per minute

SOFC

Solid oxide fuel cell

SOI

Silicon on insulator

STI

Shallow trench isolation

TCO

Transparent conductive oxide

TEM

Transmission electron microscopy

16

List of Acronyms and Symbols TFT

Thin-film transistor

TL

Tauc-Lorentz

ULSI

Ultra-large scale integration

XPS

X-ray photoelectron spectroscopy

YSZ

Yttria-stabilized zirconia

Chemical Names acac

Acetylacetonate

amd

Amidinate

n Bu

n-Butyl

s Bu

sec-Butyl

Cp

Cyclopentadienyl

DER

(Dimethylpentadienyl)(ethylcyclopentadienyl)Ru

Et

Ethyl

hfac

Hexafluoroacetylacetonate

IPA

Isopropyl alcohol (isopropanol)

Me

Methyl

i Pr

Isopropyl

thd

Tetramethylheptanedionate

TMA

Trimethylaluminum

TMVS

Trimethylvinylsilane

Symbols β

Phase parameter in the Leng-Lorentz model



Ellipsometric angle Delta

17

List of Acronyms and Symbols δp

Phase of e rp

δs

Phase of e rs

∆exp

Experimental value of the ellipsometric angle Delta

∆mod

Modeled value of the ellipsometric angle Delta

∆f H 0

Molar enthalpy of formation

∆R G

Molar Gibbs free energy of a reaction

ε∞

High-frequency dielectric constant



Complex dielectric constant

η

Gas viscosity

Γ

Broadening parameter in the Leng-Lorentz model

λ

Wavelength

µ

Pole order in the Leng-Lorentz model (fitting parameter)

ν

Wavenumber

Ω0

Center frequency of a Lorentz oscillator according to the representation used in the software SpectraRay 2

Ωp

Oscillator strength of a Lorentz oscillator according to the representation used in the software SpectraRay 2

ωp

Plasma frequency according to the representation used in the software SpectraRay 2

Ωτ

Damping coefficient of a Lorentz oscillator according to the representation used in the software SpectraRay 2

ωτ

Damping coefficient of the Drude term according to the representation used in the software SpectraRay 2

φ

Angle of incidence, reflection, or refraction

Ψ

Ellipsometric angle Psi

Ψexp

Experimental value of the ellipsometric angle Psi

Ψmod

Modeled value of the ellipsometric angle Psi

18

List of Acronyms and Symbols ρ

Specific resistivity

e ρ

Complex ellipsometric parameter, i. e., ratio of the complex reflection coefficients of the parallel and perpendicular polarized component of the lightwave

τ

Time constant (signal delay)

A

Oscillator parameter in the Tauc-Lorentz model used by the software SpectraRay 2

C

Oscillator parameter in the Tauc-Lorentz model used by the software SpectraRay 2

C0

Amplitude of an absorptive transition in the Leng-Lorentz model used by the software SpectraRay 2

C0 , C1

Constants used in the Cauchy model according to the representation used in the software SpectraRay 2

Cp

Specific heat capacity under constant pressure

Ccoup

Coupling capacitance between two adjacent metallization lines

E

Energy

e

Euler’s number, e = 2.7182818 . . .

E0

Oscillator parameter in the Tauc-Lorentz model used by the software SpectraRay 2

Ep

Electric field component of a light wave parallel to the plane of incidence

Es

Electric field component of a light wave perpendicular to the plane of incidence

Eg

Band gap energy of an absorptive transition (ellipsometric model parameter in the Tauc-Lorentz and Leng-Lorentz model)

Fcarrier

Carrier gas flow

Fliquid

Flow rate of evaporated liquid

G

Gibbs free energy

H

Enthalpy

19

List of Acronyms and Symbols K

Equilibrium constant of adsorption

k

Imaginary part of the complex refractive index; dielectric constant with respect to high-k or low-k materials

k0

Fitting parameter for the offset of the dielectric constant in the Leng-Lorentz model used in the software SpectraRay 2

ka

Rate of adsorption

kd

Rate of desorption

l

Length of precursor delivery line

M

Number of fitting parameters in an ellipsometric model

m0

Fitting parameter for the offset of the dielectric constant in the Leng-Lorentz model used in the software SpectraRay 2

M liquid

Molecular weight of a liquid to be evaporated

N

Number of measured data points of an ellipsometric measurement used for fitting the data

e N

Complex refractive index

n

Real part of the complex refractive index; amount of substance (molar quantity)

p

Pressure

p0

Standard pressure, p0 ∼ 1013.23 mbar (760 torr)

pp

Partial pressure

p bubbler

Total pressure in the bubbler

p chamber

Chamber pressure

p tot

Total pressure at orifice of evaporator

Q

Surface coverage

R

Gas constant, R = 8.31451 J K−1 mol−1

r

Inner radius of precursor delivery line

Rmetal

Resistance of an interconnect line

20

List of Acronyms and Symbols e rp

Complex reflection coefficient of the wave component parallel to the plane of incidence

RS

Sheet resistance

e rs

Complex reflection coefficient of the wave component perpendicular to the plane of incidence

S

Entropy

T

Absolute temperature

T0

Standard temperature, T0 = 298.15 K (25°C)

V

Volume

x0

Fitting parameter for the offset of the dielectric constant in the Leng-Lorentz model used in the software SpectraRay 2

21

List of Acronyms and Symbols

22

Vorwort Die vorliegende Arbeit entstand während meiner Tätigkeit als Wissenschaftlicher Mitarbeiter am Zentrum für Mikrotechnologien (ZfM) der TU Chemnitz und der Fraunhofer-Einrichtung für Elektronische Nanosysteme (ENAS), sowie als Doktorand im von der Deutschen Forschungsgemeinschaft geförderten Internationalen Graduiertenkolleg 1215 "Materials and Concepts for Advanced Interconnects". Mein Dank gilt daher zunächst allen Kolleginnen und Kollegen des ZfM und der Fraunhofer ENAS für die ausnehmend gute Zusammenarbeit. Ohne Eure Unterstützung und schnelle, unbürokratische Hilfe bei allen kleineren und größeren Herausforderungen des Laboralltags und mit Verwaltungseinheiten aller Art wäre diese Arbeit kaum möglich gewesen. Mein besonderer Dank gilt / Special thanks go to: Herrn Prof. Dr. Thomas Geßner und Herrn Prof. Dr. Stefan E. Schulz für die Ermutigung, diese Arbeit zu schreiben, sowie für viele fruchtbare Diskussionen und die gewährten Freiheiten bei der Bearbeitung des Themas; Herrn Prof. Dr. Heinrich Lang und Herrn Prof. Dr. Thomas Mikolajick für die Übernahme der Gutachtertätigkeit; Herrn Prof. Dr. Stefan E. Schulz und Herrn Dr. Jörg Schuster für wertvolle Hinweise während des Abfassens der Arbeit; der Arbeitsgruppe Anorganische Chemie von Herrn Prof. Dr. Heinrich Lang, besonders Herrn Dr. Alexander Jakob, Frau Dr. Nina Roth und Herrn Dipl.-Chem. Robert Mothes für viele hilfreiche Diskussionen und zahlreiche Ansätze zur Precursorsynthese; der Arbeitsgruppe Analytik an Festkörperoberflächen von Herrn Prof. Dr. Michael Hietschold, besonders Herrn Dr. Steffen Schulze und Frau Michaela Knierim für die durchgeführten TEM-Präparationen und -Analysen; Frau Dipl.-Ing. Monika Henker, Frau Dipl.-Ing. (FH) Iris Höbelt und Frau Dipl.-Ing. (FH) Cornelia Kowol für unzählige REM- und EDX-Untersuchungen; Herrn Dr. Steffen Oswald (IFW Dresden) für eine große Anzahl XPS-Untersuchungen und viele hilfreiche Diskussionen; Dr. Meiken Falke (Bruker AXS) and Dr. Mhairi Gass (University of Liverpool) for STEM analyses at the SuperSTEM Daresbury, UK;

23

Vorwort Frau Dr. Ramona Ecke, Herrn Dr. Frieder Blaschta, Herrn Dr. Sven Zimmermann, Herrn Dipl.-Ing. Norbert Zichner, Herrn Dipl.-Ing. Reinhard Müller, Herrn Dipl.-Ing. René Reich und Herrn Dipl.-Phys. Sascha Hermann für die Bereitstellung verschiedenster Substratmaterialien und prozesstechnische Unterstützung; Prof. Xin-Ping QU and Mr. Shao-Feng DING of Fudan University for providing sputtered Ru/TaN samples and for helpful discussions; Herrn Dipl.-Ing. Lutz Hofmann für die gute Zusammenarbeit bei der Integration der ALD-Schichten mit Galvanikprozessen; Herrn Dipl.-Ing. Reinhard Müller, Herrn Dipl.-Ing. Thomas Werner, Herrn Dipl.-Ing. Norbert Zichner sowie den Kollegen der VWI, Herrn Jörg Hommel, Herrn Frank Krumbiegel, Herrn Matthias Plänitz und Herrn Jörg Salokat, für ihre Hilfe bei vielen kleinen und größeren Herausforderungen hinsichtlich Vakuumtechnik, Gasversorgung, Kühlwasser, Elektrik und dergleichen; den beteiligten Studenten und Praktikanten, besonders Herrn Dipl.-Ing. Michael Böhme und Frau Dipl.-Ing. (FH) Darina Riemer, für ihre Unterstützung im Rahmen ihrer Arbeiten; meinen ehemaligen Bürokollegen Frau Dr. Ramona Ecke und Herrn Dr. Knut Schulze für die nötige Portion Humor und den gewährten „Freiraum“ in der H 104 B; den Sportfreunden Harald, Patrick und Roman für viele kurzweilige Stunden auf der Trainingsfläche, während derer nicht selten chemische Probleme den Sport in den Hintergrund treten ließen; sowie meinen Freunden Anne, Christine, Corinna, Manuela, Uli, Ingo, Marcel, Marco, Micha, Sven, Volkmar und einigen mehr, die trotz teils großer Entfernungen da sind, wenn man sie braucht. An dieser Stelle möchte ich auch die Studienstiftung des deutschen Volkes und die Dr.Jürgen-Ulderup-Stiftung dankend erwähnen. Während meiner Zeit als ihr Stipendiat ermöglichten mir diese Einrichtungen unter anderem ein Forschungspraktikum an den Bell Laboratories. Diesem Aufenthalt verdanke ich nicht nur die Lust und den Ansporn, sondern auch einen großen Teil meiner Fähigkeiten zur wissenschaftlichen Arbeit. Ganz besonders aber möchte ich diese Gelegenheit nutzen, mich bei meiner Familie zu bedanken. Ohne Euch, Eure permanente Unterstützung und den Rückhalt, den Ihr mir zu jeder Zeit gewährt habt, hätte ich es niemals bis hierher geschafft. Ganz lieben Dank dafür! Thomas Wächtler Dezember 2009

24

1 Introduction The invention of the bipolar transistor (bipolar junction transistor, BJT) by John Bardeen, Walter Brattain, and William Shockley at Bell Laboratories in 1947 (Fig. 1.1) is often regarded as the starting point of the information age [1]. For this breakthrough, the inventors were awarded the Nobel Prize in Physics in 1956. However, the differing principle of the metal-oxide-semiconductor (MOS) transistor proposed earlier [2] led to the development of integrated circuits based on the complementary MOS (CMOS) logic, which finally made the continued down-scaling of the device dimensions possible, while increasing computation speed and functionality at the same time. As a result, we are nowadays routinely using ultra-large scale integrated (ULSI) circuits such as mi-

Figure 1.1: Memorial plate at Bell Laboratories, Murray Hill, NJ (USA) in remembrance of the invention of the bipolar transistor by John Bardeen, Walter Brattain, and William Shockley in 1947.

25

1 Introduction croprocessors (MPUs) or memory devices. Not only did they allow for the development of the internet or for the computation of vast amounts of data at reasonable time scales, such as required for weather forecasts or climate projections. The also made daily-life gadgets like smart phones or laptops possible, and even allow to store the content of entire libraries on the area of a few square centimeters. To make the devices work, the single MOS transistors present on each microchip need to be electrically connected to each other. For example, up-to-date MPUs feature several hundreds of millions of transistors which have to be wired. For this purpose, multi-level metallization systems are manufactured atop the device level on each wafer, as schematically shown in Fig. 1.2. Formerly, the interconnect lines were made of aluminum and separated by silicon dioxide as an insulator. However, continued shrinking of all the device dimensions along with an increase in integration density led and still leads to a steady increase of the signal propagation time across the circuit, compromising the gains in device speed obtained due to smaller transistor dimensions. The signal delay time is

Passivation Dielectric

Copper line with diffusion barrier

Etch stop layer Via

Global metallization

Dielectric cap

Intermediate metallization

Metal 1 Pre metal dielectric Pre-metal Transistor level

Tungsten contact plug p well

SOI substrate

n well

STI

Buried oxide p-Silicon

Figure 1.2: Schematic cross-sectional view of a multilevel metallization system in an MPU device.

26

specifically expressed by a time constant τ = Rmetal Ccoup ,

(1.1)

where Rmetal represents the resistance of an interconnect line and Ccoup denotes the coupling capacitance between two adjacent metallic wires in the circuit. Increasing packing density causes interconnect lines to become more densely packed as well, so that coupling capacitances and hence crosstalk and τ increase. At the same time, the dimensions of the conductor lines have to be reduced so that their resistance increases, also contributing to a rise in τ. With copper (ρCu = 1.7 µΩcm) having a nearly 40 % smaller specific resistivity than aluminum (ρAl = 2.8 µΩcm), Al-based circuit metallization was transfered to Cu interconnect schemes starting at the 130 nm technology node in order to reduce Rmetal and, consequently, lower the time constant τ. In more recent device generations, further contributions to retard the increase of signal delay result from the introduction of so-called low-k dielectrics as insulators between neighboring copper interconnect lines and adjacent metallization levels. Those materials, such as porous or non-porous carbon or fluorine-doped silica or different kinds of polymers [3] feature a lower dielectric constant compared to standard SiO2 , so that a reduction of the coupling capacitance Ccoup between vicinal interconnects and hence a lower signal delay time τ results. Regardless of the dielectrics used, Cu based interconnects are fabricated according to the Damascene technique, following the approach to form trench and via patterns in the dielectric layers by lithography and etching, and filling them subsequently with Cu as the conductor material [4]. In this respect, electrochemical copper deposition (ECD) in conjunction with chemical mechanical planarization (CMP) have evolved as the primary methods to create the copper interconnect system [5, 6]. Electroplating, however, requires conductive nucleation layers, or seed layers, to be deposited in the structured dielectrics first. In addition, diffusion barrier films that prevent copper migration into the inter-metal dielectrics as well as into the transistor regions are needed [7], for the following reasons: When diffusing into the dielectric materials, Cu is able to increase the dielectric constant so that the coupling capacitance between adjacent conductors and hence τ increases, besides also reducing the breakdown voltage of the insulator and thus compromising reliability of the circuit. In silicon, Cu will form deep traps that degrade device performance. In addition, it can lead to shortening of p-n junctions due to the for-

27

1 Introduction mation of Cu silicides [8]. Therefore, the diffusion barrier films have to be deposited into the Damascene patterns before any copper is laid down. In most cases, Ta-based barrier systems are used in state-of-the-art ULSI devices while Cu serves as the nucleation layer for the later ECD process. For the diffusion barrier system, mostly a combination of Ta and TaN films is applied. While TaN acts as the barrier preventing Cu migration, Ta is required to improve the barrier/Cu interface in order to enhance the electromigration resistance and overall reliability of the interconnect system [9, 10]. Up to now, the film system of diffusion barrier and seed layer is formed by physical vapor deposition methods (PVD), more specifically, mainly by sputtering. PVD is especially suited for high-volume production, because highly pure thin films can be deposited reproducibly with defined stoichiometry at high wafer throughput. However, being line-of-sight processes, PVD methods exhibit drawbacks when it comes to the requirement of depositing ultra-thin films conformally into nanostructures. Continued shrinking of the geometric dimensions of the interconnect features to well below 100 nm also requires ever thinner functional films. In particular, to reserve the largest possible volume of the vias and interconnection lines for the more conductive copper, the diffusion barrier films must be as thin as 3 . . . 4 nm at the current 45 nm technology node and will even have to be thinner in future device generations [11]. Considering that the smallest interconnect lines currently have a width of only ∼ 50 nm and continue diminishing, also the nucleation layers have to be deposited not much thicker than 10 nm. At the same time, these films must be deposited conformal and void-free in the patterned dielectric films. This is equally important for diffusion barriers and for the seed layers. Formation of

PVD Seed Barrier

SiO2 or ULK Dielectric cap Cu

Upper interconnect level Via level

ECD Cu

Void

Lower interconnect level

Figure 1.3: Detailed schematic of Cu ULSI dual damascene metallization: Especially in high-aspect-ratio features, such as vias, the sputtered PVD seed layer is prone to nonconformalities (left), which may result in the formation of voids during the subsequent electrochemical Cu deposition (right).

28

voids in the barrier films are fatal for the reliability of the interconnect system, while defects in the nucleation layers lead to growth failures during the Cu ECD. Similarly, non-conformal barrier and seed layer PVD in vias or trenches, creating overhangs at the openings of the patterns as depicted by the drawing in Fig. 1.3, cause early closure and void-formation during ECD, which result in increased resistance of the interconnect features as well as reliability problems. However, achieving continuous films of equal thickness within line and via features as well as across an entire wafer of up to 300 mm diameter puts extreme challenges onto the PVD technology. Therefore, alternative thinfilm deposition methods are discussed for such applications. In particular, atomic layer deposition (ALD) is of interest for both the formation of diffusion barriers as well as metallic nucleation layers in the interconnect system [11]. Being a cyclic, gas-phase technique related to chemical vapor deposition (CVD), it is suited to conformally grow dense, ultra-thin films of equal thickness both in nanostructures and across large-area substrates. Therefore, the current work particularly makes a contribution with respect to the ALD of metallic Cu seed layers and their integration with different diffusion barrier systems as well as subsequent electroplating processes. The following Chapter 2 describes the basic principle underlying the ALD technique as well as important fields of application. Afterwards it is dedicated more specifically to the ALD of metals and copper in particular. Here, different approaches to obtain metallic Cu films by ALD are described, establishing the link to the technological approach of copper oxide ALD with a subsequent reduction step followed. Chapter 3 describes the experimental details of the ALD processes developed, beginning with considerations of precursor selection and leading to the description of the properties of the selected Cu(I) β-diketonate [(n Bu3 P)2 Cu(acac)]. Up to now, only limited reports exist for this metal-organic complex used as a CVD precursor. In fact, metal(I) β-diketonates have so far widely been regarded inappropriate for ALD. These precursor considerations in Chapter 3 are followed by an explanation of the ALD equipment and processing conditions, as well as the experimental techniques used for sample characterization. The subsequent Chapter 4 represents the major part of the thesis. Starting with CVD screening experiments to establish proper conditions for the development of ALD processes as well as initial ALD studies to determine suitable co-reactants, the Chapter is then dedicated to the development of ALD processes for the growth of copper oxide films on different substrates. For this purpose, a combination of

29

1 Introduction water vapor and oxygen as the co-reactant to the metal-organic copper precursor is used at temperatures between 100 and 160°C. In this respect, Ta and TaN as the most common diffusion barrier materials in current ULSI devices are considered first. Ruthenium, as a prospective material for adhesion layers or diffusion barriers in advanced interconnect systems, is also studied as substrate for the ALD. Besides the conductive underlayers, copper oxide growth on thermal SiO2 films is investigated. Experiments to establish ALD regimes, characterize the processes and the films obtained are complemented by detailed studies of the film growth on the different substrates, applying techniques such as scanning and transmission electron microscopy, atomic force microscopy, spectroscopic ellipsometry, or X-ray photoelectron spectroscopy. Targeting an application as seed layers for electrochemical deposition processes, reduction methods to convert the oxidic ALD films to metallic copper are examined in Chapter 5. Special emphasis is put on vapor-phase treatments using formic acid as the reducing agent. ECD studies on both blanket and patterned substrates utilizing a conformal Cu electroplating process follow. For the first time, the successful integration of Cu nucleation layers grown by thermal ALD of copper oxide and subsequent thermal reduction especially with Ru and Ru/TaN barrier systems is shown. Finally, Chapter 6 summarizes the work and gives an outlook towards a possible integration of carbon nanotubes into copper-based metallization schemes by applying the developed ALD processes. Apart from the main Chapters, the thesis contains three Appendices providing additional information and important details, which would otherwise have frayed out the main parts of the work if included there. In this respect, Appendix A gives technical specifications of the ALD equipment used. Appendix B at first summarizes the principles of spectroscopic ellipsometry as an important characterization method applied throughout the current work. Further, this Chapter contains information on the models used to process the ellipsometric data as well as the model parameters for the samples a large part of the detailed discussion in Chapter 4 is based on. Following this, Appendix C is concerned with thermodynamic considerations regarding the reduction processes investigated in Chapter 5, first providing the theoretical background of the calculations of the Gibbs free energy carried out afterwards for the different experimental approaches.

30

Einleitung Die Erfindung des Bipolartransistors durch John Bardeen, Walter Brattain und William Shockley an den Bell Laboratories im Jahre 1947 (Bild 1.1, S. 25), für die die Erfinder im Jahre 1956 mit dem Nobelpreis für Physik geehrt wurden, wird weithin als der Beginn des Informationszeitalters angesehen [1]. Nichtsdestotrotz führte aber das davon verschiedene Prinzip des Metall-Oxid-Halbleiter-Transistors (MOS-Transistor), welches früher bekannt war als der Bipolartransistor [2], zur Entwicklung integrierter Schaltkreise auf Basis der komplementären MOS-Logik (CMOS). Letztendlich ermöglichte diese erst die kontinuierliche Verkleinerung der Bauelementeabmessungen bei gleichzeitig steigender Rechenleistung und Funktionalität. Im Ergebnis nutzt man heutzutage ganz selbstverständlich ultrahochintegrierte Schaltkreise (ULSI-Schaltkreise), wie zum Beispiel Mikroprozessoren (MPUs) oder Speicherbauelemente. Diese ermöglichten nicht nur die Entwicklung des Internet oder erlauben die Verarbeitung großer Datenmengen in praktikablen Zeiträumen, wie sie beispielsweise bei Wettervorhersagen und Klimasimulationen anfallen. Solche Bauelemente ermöglichen auch viele elektronische Begleiter des täglichen Lebens wie Smartphones oder Laptops und erlauben es, den Inhalt ganzer Bibliotheken auf wenigen Quadratzentimetern Fläche zu speichern. Um aber funktionierende Schaltkreise herzustellen, müssen die einzelnen MOSTransistoren auf jedem Mikrochip elektrisch miteinander verbunden werden. Beispielsweise besitzen heutige Mikroprozessorbauelemente hunderte Millionen einzelner Transistoren, die miteinander verdrahtet werden müssen. Zu diesem Zweck werden auf jedem Wafer mehrlagige Metallisierungssysteme oberhalb der Transistorebene aufgebaut, wie dies schematisch in Bild 1.2 (S. 26) dargestellt ist. Früher wurden die Leitbahnen aus Aluminium hergestellt und mit Hilfe von Siliziumdioxid elektrisch voneinander isoliert. Die kontinuierliche Verkleinerung der Bauelementeabmessungen jedoch in Verbindung mit der Erhöhung des Integrationsgrades führte und führt zum stetigen Anstieg der Signallaufzeiten in den Schaltkreisen, wodurch der Geschwindigkeitsgewinn, der aufgrund kleinerer Transistordimensionen erzielt wird, verloren geht. Konkret wird die

31

1 Introduction Signalverzögerungszeit mittels einer Zeitkonstante τ = Rmetal Ccoup

(1.2)

ausgedrückt, wobei Rmetal für den Widerstand einer Leitbahn steht und Ccoup die Koppelkapazität zwischen benachbarten metallischen Leiterbahnen im Schaltkreis verkörpert. Der steigende Integrationsgrad führt auch zu einer höheren Packungsdichte des Metallisierungssystems, so dass die Koppelkapazitäten und somit τ sich erhöhen. Gleichzeitig müssen die Abmessungen der Leiterbahnen verringert werden, was mit einer Erhöhung ihres Widerstandes einhergeht, die gleichermaßen zum Anstieg von τ beiträgt. Wegen eines etwa 40 % geringeren spezifischen elektrischen Widerstands von Kupfer (ρCu = 1.7 µΩcm) im Vergleich zu Aluminium (ρAl = 2.8 µΩcm) wurde ab dem 130 nm-Technologieknoten damit begonnen, die aluminiumbasierte Metallisierung durch Kupfer-Leitbahnsysteme zu ersetzen, um Rmetal und damit die Zeitkonstante τ zu reduzieren. In moderneren Schaltkreisgenerationen werden zusätzliche Beiträge zur Verringerung der Signalverzögerung durch Dielektrika mit niedriger Dielektrizitätszahl, sog. low-k-Dielektrika, erzielt, die als Isolatormaterialien zwischen benachbarten Leitbahnen und aneinander angrenzenden Metallisierungsebenen eingebracht werden. Diese Materialien [3], beispielsweise poröse oder dichte kohlenstoffhaltige oder fluorierte Gläser, oder aber verschiedene Arten von Polymeren, sind durch eine im Vergleich zum standardmäßig verwendeten SiO2 verringerte Dielektrizitätszahl gekennzeichnet. Damit wird eine Verringerung der Koppelkapazitäten Ccoup zwischen benachbarten Metallisierungsstrukturen und in der Folge eine Senkung der Signalverzögerungszeit τ erzielt. Unabhängig von den verwendeten Dielektrika werden kupferbasierte Metallisierungssysteme nach der sogenannten Damascene-Technik hergestellt, bei der zunächst mittels Lithografie und Ätzprozessen Graben- und Durchkontaktierungsstrukturen in die Isolatorschichten eingebracht werden, die anschließend mit Kupfer als Leitermaterial aufgefüllt werden [4]. Dafür hat sich die galvanische Kupferabscheidung (ECD) in Verbindung mit dem Chemisch-Mechanischen Polieren (CMP) als das dominierende Verfahren durchgesetzt [5, 6]. Für das Galvanisieren sind jedoch leitfähige Nukleationsschichten (Keimschichten) erforderlich, die zunächst auf den strukturierten Dielektrika abgeschieden werden müssen. Zusätzlich werden Diffusionsbarriereschichten benötigt, die verhindern, dass Kupfer in das Zwischenmetalldielektrikum sowie in die Transistorbereiche auswandert [7], und zwar aus folgenden Gründen: Wenn Kupfer in die Dielek-

32

trika diffundiert, erhöht sich deren Dielektrizitätszahl, so dass eine Erhöhung der Koppelkapazität benachbarter Leiterstrukturen und in deren Folge eine Vergrößerung von τ resultiert. Gleichzeitig wird die Durchbruchsspannung der Isolatormaterialien herabgesetzt, so dass die Zuverlässigkeit des Schaltkreises beeinträchtigt wird. Im Silizium wiederum bildet Kupfer tiefe Störstellen, die die Transistoreigenschaften verschlechtern. Außerdem kann es aufgrund von Silizidbildung zu Kurzschlüssen an p-n-Übergängen kommen [8]. Daher müssen vor der Abscheidung des Kupfers Diffusionsbarriereschichten auf die Damascene-Strukturen aufgebracht werden. In den meisten Fällen werden in derzeitigen ULSI-Schaltkreisen tantalbasierte Barrieresysteme verwendet, während Kupfer als Keimschicht für die späteren Galvanikprozesse dient. Als Diffusionsbarriere wird dabei meist eine Kombination aus Tantal- und Tantalnitrid-Schichten angewendet. Während TaN als die eigentliche Barriere gegen Kupfermigration wirkt, wird Ta benötigt, um die Grenzfläche Cu/Barriere zu verbessern, wodurch sich verbesserte Elektromigrationseigenschaften und damit eine höhere Zuverlässigkeit des Leitbahnsystems ergeben [9, 10]. Bisher wird das Schichtsystem aus Diffusionsbarriere und Kupferkeimschicht mit Hilfe der Physikalischen Dampfphasenabscheidung (PVD), speziell mittels Kathodenzerstäuben (Sputtern) hergestellt. PVD-Verfahren sind vor allem für die Massenproduktion geeignet, weil damit hochreine Schichten definierter Stöchiometrie bei hohem Waferdurchsatz reproduzierbar hergestellt werden können. Da es sich beim Kathodenzerstäuben aber um ein gerichtetes Abscheideverfahren handelt, weist diese Methode hinsichtlich der konformen Abscheidung ultradünner Schichten in Nanostrukturen verschiedene Nachteile auf. Wegen der kontinuierlichen Verkleinerung der geometrischen Abmessungen des Leitbahnsystems hin zu Strukturen deutlich unter 100 nm muss die Dicke der funktionalen Schichten ebenfalls immer weiter reduziert werden. Um beispielsweise in Durchkontaktierungen (Vias) und Leiterbahnen das maximal mögliche Volumen für das sehr gut leitfähige Kupfer nutzen zu können, dürfen die Diffusionsbarrieren in der momentanen 45 nm-Technologie nicht dicker als 3 . . . 4 nm sein. Für künftige Schaltkreisgenerationen werden sogar noch dünnere Schichten benötigt [11]. Wenn man bedenkt, dass die schmalsten Leitbahnen momentan eine Breite von lediglich ca. 50 nm besitzen und deren Abmessungen sich weiter verringern werden, dürfen auch die Nukleationsschichten nicht wesentlich dicker als 10 nm abgeschieden werden. Gleichzeitig müssen diese Schichten aber konform und fehlerfrei in den strukturierten Dielektrika abgeschieden werden. Dies gilt gleichermaßen für Diffusionsbarrieren

33

1 Introduction und Nukleationsschichten. Fehlstellen in den Barriereschichten haben schwerwiegende Konsequenzen für die Zuverlässigkeit des Leitbahnsystems, während Defekte in den Keimschichten zu fehlerhaftem Schichtwachstum während der galvanischen Kupferabscheidung führen. Ebenso nachteilig wirken sich Nichtkonformitäten der gesputterten Barriere- und Nukleationsschichten in Vias und Gräben aus. Überhänge dieser Schichten an den Öffnungen der Strukturen, wie sie schematisch in Bild 1.3 (S. 28) dargestellt sind, können zu Lunkerbildung während der Galvanik führen, was einen höheren Widerstand der Leitbahnen oder Vias zur Folge hat und wiederum in Zuverlässigkeitsproblemen mündet. Geschlossene Schichten einheitlicher Dicke in Leitbahn- und Viastrukturen über großen Substraten wie 300 mm-Wafern zu realisieren, ist jedoch bei PVD-Verfahren mit zunehmenden Schwierigkeiten verbunden. Daher werden für derartige Anwendungen gegenwärtig alternative Beschichtungsverfahren diskutiert. Insbesondere die Methode der Atomlagenabscheidung (ALD) ist hier von Interesse, und zwar sowohl für die Abscheidung der Diffusionsbarrieren als auch zur Bildung metallischer Nukleationsschichten [11]. Da es sich hierbei um ein zyklisches Gasphasenverfahren handelt, welches mit der Chemischen Gasphasenabscheidung (CVD) verwandt ist, ist die ALD besonders gut geeignet, dichte, ultradünne Schichten einheitlicher Dicke konform sowohl in Nanostrukturen als auch auf großen Substratflächen zu erzeugen. Die vorliegende Arbeit leistet daher einen Beitrag hinsichtlich der ALD metallischer Kupferschichten und deren Integration mit verschiedenen Diffusionsbarrieresystemen sowie nachfolgenden Galvanikprozessen. Das folgende Kapitel 2 beschreibt das grundlegende Prinzip der ALD sowie wichtige Anwendungsfelder dieser Methode. Danach widmet es sich besonders der ALD von Metallen und Kupfer im speziellen. Es werden verschiedene Ansätze beschrieben, um metallische Kupferschichten mittels ALD zu erhalten. Damit wird die Verbindung zur später gewählten Methode hergestellt, Kupferoxid mit Hilfe der ALD abzuscheiden, welches anschließend reduziert wird. Kapitel 3 beschreibt die experimentellen Details des entwickelten ALD-Prozesses, wobei mit Aspekten der Precursorauswahl und der Beschreibung der Eigenschaften des gewählten Kupfer(I)-β-Diketonats [(n Bu3 P)2 Cu(acac)] begonnen wird. Bisher existieren nur sehr sporadische Berichte über die Verwendung dieses metallorganischen Komplexes als Vorstufe für die CVD. Tatsächlich wurden Metall(I)-β-Diketonate bisher sogar weitgehend als für die ALD ungeeignet angesehen. An die Betrachtungen zum Precursor schließen sich in Kapitel 3 danach eine Beschreibung der ALD-Anlagentechnik

34

und Prozessbedingungen sowie Erläuterungen zu den experimentellen Techniken an, die für die Probencharakterisierung genutzt wurden. Das nachfolgende Kapitel 4 nimmt den weitaus größten Teil der Arbeit in Anspruch. Beginnend mit CVD-Experimenten, um adäquate Prozessbedingungen für die Entwicklung von ALD-Prozessen zu finden, sowie einführenden ALD-Untersuchungen zur Ermittlung geeigneter Reaktionspartner, widmet sich das Kapitel danach der Entwicklung von ALD-Prozessen zur Abscheidung von Kupferoxidschichten auf verschiedenen Substraten. Bei Temperaturen zwischen 100 und 160°C wird hierfür eine Mischung aus Wasserdampf und Sauerstoff als Reaktionspartner für die metallorganische Kupfervorstufe verwendet. In diesem Zusammenhang werden zunächst Ta- und TaN-Substrate als die momentan am weitesten verbreiteten Diffusionsbarrierematerialien in der ULSI-Technologie betrachtet. Ruthenium, das für Barrieresysteme und Haftschichten in künftigen Metallisierungssystemen in Frage kommt, wird ebenfalls als Substratmaterial für die ALD-Prozesse untersucht. Neben diesen leitfähigen Materialien werden auch thermische SiO2 -Schichten als Untergrund für das Kupferoxid-Wachstum analysiert. Die Experimente zur Bestimmung geeigneter Bedingungen für die ALD-Beschichtung werden ergänzt durch detailliertere Untersuchungen des Schichtwachstums auf den verschiedenen Substraten. Dazu werden Techniken wie die Raster- und Transmissionselektronenmikroskopie, Rasterkraftmikroskopie, Spektralellipsometrie oder Röntgenphotoelektronenspektroskopie angewendet. Mit dem Ziel einer Anwendung als Keimschichten für galvanische Abscheideprozesse werden in Kapitel 5 Reduktionsverfahren untersucht, um die oxidischen ALD-Schichten in metallisches Kupfer umzuwandeln. Der Schwerpunkt liegt dabei auf Gasphasenprozesssen, bei denen Ameisensäure als Reduktionsmittel eingesetzt wird. Galvanikexperimente unter Anwendung eines konformen Kupferprozesses sowohl auf unstrukturierten als auch strukturierten Substraten schließen sich an. Zum ersten Mal wird hier die erfolgreiche Integration von Kupfer-Nukleationsschichten basierend auf Kupferoxid, das mit Hilfe thermischer ALD-Prozesse abgeschieden und anschließend thermisch reduziert wird, insbesondere in Verbindung mit Ru und Ru/TaN-Barrieresystemen gezeigt. Kapitel 6 schließlich fasst die Arbeit zusammen und gibt einen Ausblick hinsichtlich einer möglichen Integration von Kohlenstoffnanoröhren in Kupfer-Metallisierungssysteme unter Anwendung des entwickelten ALD-Prozesses. Neben den Hauptkapiteln beinhaltet die Arbeit drei Anhänge. Diese stellen zusätzliche Informationen und wichtige Details zusammen, die, würden sie im Hauptteil ge-

35

1 Introduction bracht, dessen Rahmen bei weitem überschritten. Hierbei gibt Anhang A einen Überblick über die technischen Details der verwendeten ALD-Anlage. Anhang B fasst zunächst die Grundlagen der Spektralellipsometrie als eine wichtige Charakterisierungsmethode zusammen, die in weiten Teilen der Arbeit angewendet wird. Daneben beinhaltet dieses Kapitel Informationen über die verwendeten Modelle zur Auswertung der Ellipsometriedaten sowie zu den Modellparametern der Proben, auf die sich ein großer Teil von Kapitel 4 bezieht. Anschließend befasst sich Anhang C mit thermodynamischen Betrachtungen zu den Reduktionsprozessen, die in Kapitel 5 untersucht werden. Zunächst wird dazu der theoretische Hintergrund zusammengestellt, bevor anschließend Berechnungen der Freien Enthalpie (Gibbs’sche Freie Energie) zu den verschiedenen experimentellen Ansätzen folgen.

36

2 Atomic Layer Deposition — Applications and Basic Principles 2.1 Overview Atomic layer deposition (ALD) is a method for depositing thin films from the gas phase by sequential chemical surface reactions [12–17]. As Fig. 2.1 points out, ALD is related to the chemical vapor deposition technique (CVD), which is why also the term atomic layer chemical vapor deposition (ALCVD) is common [18]. If epitaxial films are grown, ALD may more specifically also be referred to as atomic layer epitaxy (ALE). Additionally, hybrid forms between CVD and ALD are known such as a commercial process named atomic vapor deposition (AVD) [19, 20], a brand of the company Aixtron AG (Aachen, Germany). For processes involving plasmas, plasma-enhanced ALD techniques (PEALD) are known. A commercial type of PEALD developed by Novellus Systems Inc. (San Jose, CA, USA) is the so-called ionized or ion-induced ALD (iALD) [21, 22].

History

The development of ALD methods and investigation of related phenomena dates back to the second half of the 20th century. In the 1960s, a group around W. B. Aleskowskii from Leningrad, the former Sovjet Union, reported about surface processes of TiCl4 and GeCl4 on hydrated silica [23], essentially outlining the basic principles of ALD. Independently of the Russian scientists, a Finish group around T. Suntola of Helsinki also worked on similar topics at the same time, but apparently without being aware of the group in Leningrad. The year of 1977, when T. Suntola and M. J. Antson were granted a patent on atomic layer epitaxy of compound thin films [24], has so far widely been regarded as the year of birth of ALD, because Suntola’s work found widespread dissemination and direct application. Only recently it has been recognized [25] that

37

2 Atomic Layer Deposition — Applications and Basic Principles Abscheidetechniken Common thin film deposition methods Liquid phase • Spin coating • Dip coating • Chemical solution deposition (CSD) • Electroless deposition • Electroplating (ECD) • Liquid-phase epitaxy

Gas phase Physical vapor deposition (PVD)

Chemical deposition techniques

• Vacuum evaporation

• Chemical vapor deposition (CVD)

• Electron-beam evaporation

• Vapor phase epitaxy (VPE)

• Sputter deposition

• Atomic layer deposition (ALD)

• Cathodic arc deposition

• Atomic layer epitaxy (ALE)

• Pulsed laser deposition (PLD) • Molecular beam epitaxy (MBE)

Figure 2.1: Overview of common thin film deposition techniques. Being gas-phase, chemical deposition methods, atomic layer deposition (ALD) and atomic layer epitaxy (ALE) are closely related to chemical vapor deposition (CVD). the scientists from Leningrad actually were the first to report ALD-related phenomena. Nevertheless, due to the immediate commercialization of the findings by Suntola and his co-workers, the ALD technique mainly evolved from Finland, both with respect to products using ALD-grown thin films as well as to equipment manufacturers for ALD. TFEL Displays

The first applications in this respect where electroluminescent films for large area, thin film electroluminescent displays (TFEL) [26–29]. This results from the need for a film growth method to deposit dense, void-free thin films of equal thickness onto large-area substrates. With its inherent self-limiting film growth, the ALD method is best suited for this application. In fact, Suntola’s invention [24], among others, described the formation of epitaxial ZnS layers for such purposes. In addition, ion diffusion barriers as well as dielectric layers or transparent electrodes needed in TFELs were made by ALE [30], as reviewed, for example, by Suntola [14] or Ritala and Leskelä [17]. Compound Semiconductors

ALD was further also applied to the epitaxy of III-V and II-VI compound semiconductors [12, 13, 16, 31]. Especially the growth of GaAs and GaAs-based ternary alloys like AlGaAs or InGaAs for transistors and semiconductor optical devices attracted

38

2.1 Overview widespread attention in this respect [32–39], but also other III-V materials such as phosphides [40–42] and nitrides [43–47] have been grown by ALD techniques. Among the II-VI compounds, considerable work has been conducted with respect to chalcogenides such as sulfides, selenides, and tellurides [48–57]. In most cases this research was driven by the need for optically active materials in TFEL devices, but has recently experienced more attention by the emerging field of phase-change memory devices. However, with molecular beam epitaxy (MBE), metal-organic vapor phase epitaxy (MOVPE) and metal-organic CVD (MOCVD) continuing to be the dominant techniques for the growth of III-V semiconductors, until about ten years ago ALD has mainly been applied to the niche market of the TFEL displays for which it had originally been developed.

High-k Oxides and Conductive Nitrides

Only with the increasing demand for ultra-thin, high-quality films for manufacturing semiconductor electronic devices, ALD has been gaining increasing attention. Especially the formation of high-permittivity insulators, or high-k dielectrics, based on alumina, zirconia, hafnium oxide or different rare earth oxides is under consideration [58– 64]. Current applications of these ALD-grown films include capacitor dielectrics for dynamic random access memory (DRAM) devices [65–69]. Furthermore, approaches for the gate dielectric/metal stack in the next CMOS generations using ALD-grown high-k oxides and metal electrodes are under intense study to date [69, 70]. Particularly, high-k gate oxides deposited by ALD have been introduced into semiconductor manufacturing at the 45 nm technology node, for example by the Intel Corporation [71]. For future technology nodes, ALD is also seen as an enabling technology for CMOS devices that integrate semiconductor materials other than silicon. Especially for III-V semiconductors or germanium, adequate solutions for the gate insulator and passivation have to be found. In this respect, there are several approaches and promising examples of ALD high-k films both for III-V [72–75] and Ge integration [76–79]. Emphasis is further put onto the conductive nitrides, carbides, and carbonitrides of Ta, Ti or W [80–86]. ALD processes to deposit such films are mainly being developed with respect to electrodes for DRAM memory capacitors, gate electrodes in combination with high-k dielectrics [65, 67, 69, 87], as well as diffusion barriers in ULSI copper metallization technology [87–90].

39

2 Atomic Layer Deposition — Applications and Basic Principles Photovoltaics

With photovoltaics gaining considerable attention to date, ALD work is also being conducted in this subject area, for both bulk semiconductor and thin-film solar cells. Among the materials fabricated by ALD for these purposes are semiconducting films [91–94] as well as passivation layers [95] and electrodes [96]. In this respect, ALD is also gaining momentum for applications with alternative photovoltaic devices such as dye-sensitized [97–99] and organic solar cells [100].

Fuel Cells

Apart from the photovoltaic technology, fuel cells are becoming increasingly important as alternatives to conventional forms of energy supply, be it for powering electricallydriven cars or as substitute for solid-state batteries to power electronic gadgets like laptops. As compact yet energy-efficient solid oxide fuel cells (SOFC) require their components such as ceramic or metallic films to be fabricated ultra thin and potentially also with high surface area per unit volume [101, 102], ALD is being explored as a method to form the functional films required, such as solid electrolytes [103], ion conductors [104], as well as noble metal films for catalyst layers or electrodes [105, 106].

Organic Electronics and TFT Displays

Another noteworthy and continuously emerging application area of ALD is the field of organic electronics. For most such devices like organic light emitting diodes (OLEDs) and polymer-based electronic circuits capping layers as moisture and gas diffusion barriers are indispensable. With its ability to deposit dense, ultra-thin films, ALD has been shown to be a viable method for providing such films even at the low processing temperatures the organic substrates demand [107–109]. Organic and inorganic displays such as OLED arrays or thin film transistor (TFT) devices require transparent conductive oxide layers (TCO) for electrodes or as the active element. While so far indium tin oxide (ITO) has mostly been the material of choice for such purposes, alternatives are sought as indium resources are finite and thus becoming increasingly expensive. ALD-grown, doped zinc oxide such as aluminum zinc oxide (AZO), as well as B or Ga doped ZnO are likely as replacements since their properties can be controlled by direct doping during deposition [110, 111]. Such approaches have been demonstrated both on organic substrates [112–114] as well as for transparent TFT display devices [115, 116].

40

2.2 Characteristics of the ALD Technique Novel Nanostructures and Organic/Inorganic Composites

With the advent of novel, synthetic nanostructured materials ALD is more and more also becoming an enabling technology for an increasing number of applications in microand nanotechnology [117–120]. For example, ALD is finding applications in micromechanics and microoptics, such as for conformally depositing wear-resistant coatings and encapsulating layers in micromechanical devices [121–123], for replicating template structures to form photonic crystals [124–127] or other functional nanostructures [128, 129]. Furthermore, there are approaches of creating composite materials, such as carbon nanotubes that are coated with magnetic, oxidic, or catalytically active materials [130– 132], as well as organic and biological materials such as polymer fibers or cotton whose structure is being replicated in inorganic, ALD-grown films [133, 134]. Even viruses and DNA molecules have been used as templates for ultra-thin films deposited by ALD techniques [135, 136]. With respect to organic materials, a related method dubbed molecular layer deposition (MLD), first described in the early 1990s [137, 138], is again gaining attention, both for the formation of ultra-thin polymer films with layer-by-layer control [139, 140] as well as for growing composites of polymers and inorganic compounds [141]. Most of these examples, however, make use of metal oxides or other metal compounds such as nitrides, carbides or sulfides. The ALD of metallic films, in contrast, still requires great research efforts since in most cases the processes are more sophisticated. To a large extent this is due to the character of the ALD technique itself, for ALD is based on reacting a metal or semiconductor compound with a second reaction partner to form the desired material, as will be described hereafter. Consequently, the method is best suited to form compounds. In contrast, the precursors must be reduced for growing elemental metals by ALD directly. This puts great challenges on the precursor selection as well as the ALD processes themselves.

2.2 Characteristics of the ALD Technique ALD typically proceeds in vacuum and may be conducted at pressures of 1 mbar and higher under viscous gas flow conditions similar as in CVD processes. On the other hand, it can also be carried out under high or ultra-high vacuum where processes resem-

41

2 Atomic Layer Deposition — Applications and Basic Principles ble the conditions of molecular beam epitaxy (MBE). A detailed review of the different process conditions known for ALD and ALE as well as the respective reactor configurations is given by Ritala and Leskelä [17]. Contrarily to CVD, ALD is a cyclic deposition process. While in CVD the reactants required are being introduced into the deposition chamber continuously in time, this is generally not the case in ALD. In a typical CVD process, homogeneous reactions between the source gases must therefore be suppressed to ensure that only heterogeneous reactions at the substrate surface take place. That is why the CVD source chemicals mostly may not be very reactive towards each other because they are present in the gas phase at the same time and are being mixed before they arrive at the substrate. In contrast, homogeneous reactions of the co-reactants can generally be ruled out in ALD due to the cyclic nature of the technique. Therefore even highly reactive precursors can be applied. For ALD being a step-wise process, a quantity named “growth per cycle” (GPC) is defined rather than a film growth rate, which is commonly used to describe time-continuous deposition processes. The GPC instead represents the increase of the film thickness during a complete ALD cycle, each of which typically consists of the intervals shown in Fig. 2.2. In the initial step, the first reaction partner, such as a metal precursor, is introduced into the vacuum chamber as a pulse of several tens to hundreds of milliseconds up to some seconds. During this interval, the precursor molecules are adsorbed at the substrate surface. For an ALD process to proceed in the desired manner, it is important that a monolayer of the precursor molecules chemisorbs at the substrate. This implies that the molecules must be able to form chemical bonds with functional groups present at the substrate surface, rather than only physisorbing by weaker effects such as van der Waals forces [142, p. 930]. To ensure that only one chemisorbed monolayer remains, an evacuation or inert gas purging step follows the precursor pulse. During this pump/purge step, weakly-bound physisorbed molecules eventually present on top of the one desired chemisorbed monolayer are removed. In addition, precursor remaining in the gas phase is carried out of the deposition chamber. The third step introduces the second reaction partner. It is brought to react with the chemisorbed monolayer of the first precursor, leaving behind a metal or semiconductor compound or an elemental species. The fourth step again comprises a purging or an evacuation step to remove any excess of the second reactant as well as all reaction products present in the chamber.

42

2.2 Characteristics of the ALD Technique

Start

First reactand pulse

Adsorption

Purging / Evacuation

Second reactand pulse

Reaction

Purging / Evacuation

No

Desired film thickness? Yes Stop

Figure 2.2: Principle of ALD as a cyclic deposition process. While some ALD processes require additional steps, especially when more than two reactants are used, mostly an ALD sequence is restricted to four steps to keep it as short as possible. The thus formed complete ALD cycle is repeated as many times as required to form a film of the desired thickness (cf. Fig. 2.2). In this respect, it is important to note that the result of a single cycle in the vast majority of ALD processes is much less than a monolayer of the final material. The denomination “atomic layer deposition” is therefore somewhat misleading. Altogether, the particular characteristics described bring about several benefits when a process is properly operated in the ALD window. Due to the self-limiting film growth

43

2 Atomic Layer Deposition — Applications and Basic Principles regime within that temperature range, outlined in detail in Sec. 2.3.3, a highly homogeneous gas flow – as it is required for reproducible and homogeneous deposition in CVD – is not strictly necessary for ALD. The only prerequisite are sufficiently long precursor pulses to allow for totally saturated chemisorption and complete reaction of the second precursor with the chemisorbed molecules of the first across the entire substrate. This also affords a way to deposit thin films with homogeneous thickness distribution conformally onto three-dimensional structures such as steps, holes, trenches, porous materials, substrates patterned on the nanoscale, or even shadowed areas of substrates. In conjunction with the nearly constant GPC within the ALD window, this further allows to homogeneously coat very large substrates as well as batch processes. Nonetheless it must be stressed that ALD is a coating method especially suited to form ultra-thin films of several nanometers (2 to 10 nm) up to several ten nanometers (10 to 50 nm). Although in principle also thicker films are possible, this is mostly impractical due to prohibitively long processes.

2.3 Surface Phenomena Underlying ALD As outlined above, the result of the first two steps of an ALD cycle ideally is a surface containing a chemisorbed monolayer of the first precursor, so that the so-called monolayer capacity of the surface is reached. This quantity is defined as the maximum possible amount of adsorbate species per unit area on the substrate [143]. This implies that this quantity depends both on the surface and its chemical nature as well as on the type of species to be adsorbed. More specifically, the monolayer capacity for a particular precursor on a particular surface is governed by effects like steric hindrance, the respective adsorption mechanism taking place, as well as the density of adsorption sites. Therefore, it always depends on the precursor/surface combination being considered. Considering this, a quantity named surface coverage Q may be defined as the ratio of adsorbate species per unit area to the monolayer capacity of the surface. 2.3.1 Adsorption Processes Generally, adsorption processes may proceed in a reversible or irreversible manner. While physisorption is always reversible, chemisorption may be irreversible as well. As a result, the surface coverage Q is dictated by rate constants for adsorption ka and

44

2.3 Surface Phenomena Underlying ALD desorption kd , and therefore Q is a function of the partial pressure p p of the molecules to be adsorbed. If the maximum adsorption capacity is a monolayer, or, more specifically, the monolayer capacity of the particular precursor/surface combination, this behavior is described by the Langmuir adsorption isotherm [144, p. 181]: Q=

K pp 1 = −1 1 + K pp 1 + K pp

(2.1)

K represents the equilibrium constant of the adsorption, that is K=

ka kd

(2.2)

For reversible processes where desorption is allowed (kd > 0), typical saturation curves as in Fig. 2.3 are obtained. If, in contrast, the chemisorption process proceeds irreversibly so that no desorption occurs (kd = 0) and hence K → ∞, the surface coverage Q reaches unity [143]. This implies that under the condition of saturating chemisorption the actual partial pressure of the reactants in ALD is irrelevant, provided that enough time is allowed for the chemisorption process to saturate.

Surface coverage Q

K →∞

K

T = const

Partial pressure pp

Figure 2.3: Langmuir adsorption isotherms as a function of partial pressure for different values of the equilibrium constant K. In case of irreversible chemisorption, i. e. K → ∞, the surface coverage reaches unity independent of the partial pressure.

45

2 Atomic Layer Deposition — Applications and Basic Principles 2.3.2 Mechanisms of Chemisorption In ALD three possible mechanisms for the chemisorption process may be identified [143] as illustrated by Fig. 2.4: Association, dissociation, as well as ligand exchange reactions. The association reaction is the most simple case. The complete precursor molecule forms a bond with a reactive surface site and no ligands are released. During dissociation, the precursor molecule is split up and a fragment of it chemisorbs at a surface group. The ligands released from the precursor molecule also form bonds to surface groups. In a ligand exchange reaction, the precursor releases ligands upon contact to the surface as well and a fragment of it gets chemisorbed. In contrast to the Adsorption Mechanisms: Association, Dissociation, Ligand Exchange dissociation type, the free ligands form volatile species with surface groups so that they

do not chemisorb but rather are carried away in the gas phase.

(a)

(b)

(c)

Figure 2.4: Mechanisms of chemisorption which are relevant to ALD [143]: (a) – association; (b) – dissociation; (c) – ligand exchange.

2.3.3 Factors that Influence the Growth Per Cycle The monolayer capacity of a surface, i. e. the amount of adsorbate per unit area, is generally governed by two factors, the density of adsorption sites on the surface, and the spatial dimensions of the molecules to be adsorbed [143, 145]. Saturation of the chemisorption process, i. e. Q = 1, may be obtained due to steric hindrance of large molecules, identified as case (a) in Fig. 2.5. Although adsorption sites may still be present, they are shielded by molecules already in place so that no space remains for additional precursor species. On the other hand, the density of available surface sites may not be high enough so that chemisorption stops due to saturation of all these sites, while space in principle remains for additional molecules (case (b), Fig. 2.5). The latter case is evidently more likely for small precursor species such as metal halides, whereas case

46

Illustration of the monolayer capacity of the surface

2.3 Surface Phenomena Underlying ALD

(a)

(b)

Figure 2.5: Illustration of the “monolayer capacity” being governed by the type of species to be chemisorbed and their steric hindrance (a), as well as the chemical nature of the surface, i. e. the density of adsorption sites present (b).

A can mostly be considered when larger molecular precursors such as metal-organics are used due to their often comparably voluminous ligand shell. Regardless of the factor governing the monolayer capacity, the result of a complete ALD cycle is mostly much less than a monolayer of the final material, independently of the actual definition of a monolayer of the specific material1 . As an example, several models have been derived to describe the ALD growth of TiO2 from TiCl4 and water [146–148]. Irrespective of the particular model used, the GPC derived is always only a fraction of a monolayer, between 19 and 33 %, even for a rather compact precursor like TiCl4 [148, 149]. In many other cases, the GPC even takes values as small as 10 % of a monolayer or even less. When considering that often very bulky organometallic or metal-organic precursor molecules are used of which only a fraction, such as the central metal atom, is finally required for the film to be grown while all other parts are removed as volatile by-products, this behavior becomes comprehensible. Typical values of the GPC are therefore quite often in the range of 0.01 to 0.3 nm. Nevertheless, this fact obviously offers the opportunity to exactly adjust the final film thickness only by the overall number of ALD cycles, since under the prerequisite of saturating chemisorption and sufficiently long precursor pulses the GPC is no longer dependent on the pulse length itself. 1

As Puurunen [143] points out, defining a monolayer is not a straight-forward task, especially when polycrystalline or amorphous films are grown. Even for epitaxial films where a monolayer can be defined as a lattice plane according to the growing crystal orientation, it first has to be known in what orientation the ALD film is growing. From a practical point of view, the absolute value of the GPC in units of nm is therefore often more appropriate.

47

ALD Window

Growth per cycle

2 Atomic Layer Deposition — Applications and Basic Principles

(b) (d)

(a) (c)

T0

T1 Temperature

Figure 2.6: Temperature characteristic of the growth per cycle: In a temperature range (nochmal neu exportieren wegen Groß-/Kleinschreibung) T0 ≤ T ≤ T1 , the “ALD window”, the GPC varies only slightly or not at all with temperature. Below the ALD window, T < T0 , the GPC may undergo temperature dependence due to thermal activation of the surface reactions (a) or precursor condensation (b), while for T > T1 thermally-activated desorption (c) or precursor self-decomposition in a CVD mode (d) lead to temperature-dependent growth.

For a sound ALD process a temperature range T0 ≤ T ≤ T1 exists where the condition of self-saturating surface reactions is fulfilled. In most cases, only a slight or no variation of the GPC with temperature [143, p. 26] is observed within this “ALD window” (Fig. 2.6). This distinctive behavior of the ALD technique is the result of a balance between several thermally-activated or in other ways temperature-dependent processes that compete with each other, namely adsorption and desorption, precursor condensation and re-vaporization, self-decomposition of the precursor and the actual film growth reaction itself. This becomes clear from the behavior of the GPC outside of the window, where one of these effects dominates. Schematically, Fig. 2.6 combines all types of GPC characteristics which may occur, avoiding the necessity of four single diagrams. Below the ALD window, i. e. for temperatures T < T0 , the GPC may be increasing (a) or decreasing (b) with temperature. Because chemisorption itself as well as the density of adsorption sites on the substrate are temperature-dependent, an increase of GPC (a) is likely until the temperature is high enough to reach complete saturation of the surface during the precursor pulse. If, however, precursor condensation

48

Film growth during ALD: Substrate enhancement (a), linear growth (b), substrate inhibition (c)

2.3 Surface Phenomena Underlying ALD

(b)

(c)

Film thickness

(a)

Number of cycles (noch exportieren)

Figure 2.7: Evolution of film thickness with number of ALD cycles: (a) – substrateenhanced growth; (b) – linear growth; (c) – substrate-inhibited growth.

takes place so that more than one monolayer is created during the pulse, the GPC may be higher at low temperatures and decreases due to a reduced condensation tendency with increasing temperature (b). Above the ALD window, i. e. for temperatures T > T1 , the GPC may either decrease with temperature (c) due to thermally-activated desorption of chemisorbed precursor molecules from the sample surface. Otherwise the film growth rate may also increase (d) due to self-decomposition of the precursor molecules, meaning that CVD-like film growth becomes prominent. Another influence to the GPC stems from the number of cycles itself [143], as schematically illustrated by Fig. 2.7. Here it is worth reconsidering that the GPC strongly depends on the monolayer capacity of the surface, which, in turn, depends both on the steric hindrance of the adsorbate species and on the density of adsorption sites. Therefore it is not surprising that for many ALD processes there occurs a change in the GPC with the number of cycles. In this respect, substrate enhancement and substrate inhibition of the GPC must be distinguished. In case of substrate-enhanced growth (Fig. 2.7 a), the GPC exhibits higher values in the initial phase of the deposition process and lowers with increasing thickness of the growing film due to a higher monolayer capacity on the substrate than on the material being deposited. This effect has, for example, been observed for the deposition of HfO2 on chemically modified Si surfaces [150]. The inverse situation, where the GPC is lower in the initial state of the process

49

2 Atomic Layer Deposition — Applications and Basic Principles and rises to a constant value once a continuous film has been grown, is referred to as substrate-inhibited growth and depicted by Fig. 2.7 c. Examples of such processes include the deposition of Ru or Pd films [151, 152] as well as the ALD of WO3 [153]. From a principle point of view, one should thus expect the growth per cycle to be constant throughout the entire ALD process only if the surface conditions are equal on the substrate and the growing films. The resulting linear increase of film thickness with the number of ALD cycles (Fig. 2.7 b) has indeed been observed in several cases, although it may be possible that an existing substrate enhancement or inhibition in the beginning is masked by a too high number of cycles studied, as revealed for HfO2 ALD by Wang et al. [154]. However, from published data summarized in Table 2.1 it may be observed at least as a general tendency that the growth of metal oxides on oxidic substrates is likely to proceed in a linear manner [110, 112, 155–157]. Furthermore, there are several reports of noble metals grown on oxide substrates by thermal ALD with oxygen as co-reactant that exhibited linear growth behavior [106, 158–160]. For base metals, in particular hydrogen-based PEALD displayed linear growth [161–163]. However, especially for metal ALD this picture is not completely consistent, since – as mentioned above – also substrate-inhibition has been seen in several cases, depending on the precursor combination and processing temperature.

2.3.4 Growth Modes Another important aspect of any ALD process, in particular with respect to the morphology of the growing film, is the growth mode, that is the way film material added during the deposition process is arranged on the substrate surface. In general, three growth modes can be distinguished in ALD [143], which are schematically depicted in Fig. 2.8. The layer-by-layer growth, also referred to as two-dimensional growth or Frank-van der Merwe growth, is most preferred for the growth of very smooth films. As illustrated in Fig. 2.8 a, new material added during an ALD cycle is first deposited in empty spaces between islands emerging from the preceding cycles so that ultimately a film is deposited monolayer by monolayer, although, of course, several cycles are required for one monolayer of the film. However, the majority of ALD processes proceeds either by island growth [25, 164] (Fig. 2.8 b) or random deposition [165] (Fig. 2.8 c). During island growth, also known as the Volmer-Weber growth mode, the material added dur-

50

2.3 Surface Phenomena Underlying ALD

Table 2.1: Examples of linear growth, substrate-enhanced, and substrate-inhibited growth reported in the literature. Growth type Linear growth

Substrateenhanced growth Substrateinhibited growth

a

Film grown Al2 O3 HfO2 ZnO ZrO2 Ir Pt Ru Al Ta Cu HfO2

ALD process thermal ALD thermal ALD thermal ALD thermal ALD thermal ALD thermal ALD thermal ALD PEALD PEALD PEALD thermal ALD

Precursors AlMe3 / H2 O Hf[N(CH3 )2 ]4 / H2 O ZnEt2 / H2 O Cp2 ZrMe2 / H2 O Ir(acac)3 / O2 MeCpPtMe3 / O2 DERa / O2 AlMe3 / H2 plasma TaCl5 / H2 plasma Cu(thd)2 / H2 plasma HfCl4 / H2 O

Refs. [155] [157] [110, 112] [156] [159] [106, 158] [160] [161] [162] [163] [150]

Pd Ru WO3

PEALD thermal ALD thermal ALD

Pd(hfac)2 / H2 plasma RuCp2 / O2 WF6 / H2 O

[152] [151] [153]

DER = (Dimethylpentadienyl)(EtCp)Ru

ing an ALD cycle preferably is deposited at sites of film material already present. A continuous film then evolves as the islands extend and finally coalesce. The Frank-van der Merwe and the Volmer-Weber growth modes are well-known from classical PVD techniques such as vacuum evaporation or sputtering [166, p. 144]. In contrast, the random deposition growth mode has been established as a new model particularly for a number of ALD processes [165]. In this case, new material is deposited statistically both in empty places between islands growing on the substrate as well as at sites on the evolving film, due to equal adsorption coefficients on all those sites. The random deposition mode is therefore able to generate smoother films in most cases, as compared to films deposited in an island growth fashion which normally exhibit greater roughness. One might also be tempted to assign the growth modes to a certain behavior of the GPC with the number of cycles. Although no such general relation has been revealed so far, there are indications from theoretical models that substrate-inhibited growth leads to the island growth mode [25, 164] or random deposition [165].

51

2 Atomic Layer Deposition — Applications and Basic Principles

(a)

(b)

(c) Number of cycles Figure 2.8: Growth modes observed in ALD [143]: (a) – Layer-by-layer growth (Frankvan der Merwe); (b) – Island growth (Volmer-Weber); (c) – Random deposition.

2.4 ALD of Metal Films 2.4.1 Base and Noble Metals As described previously, ALD is based on the reaction of a chemisorbed monolayer of a precursor with a co-reactant. In the vast majority of cases, the metal or semiconductor atoms contained in the precursor molecule are in an oxidation state > 0. Thus, the formation of compounds such as metal oxides, nitrides, or carbides is most favored, although, of course, not always simple and straight-forward. In contrast, for growing metallic films it is necessary to bring the metal atom down to an oxidation state of 0. In case of base metals, if possible at all under reasonable processing conditions, this can only be accomplished by using strong reducing agents as the second reaction partner. Mostly the processes also have to be carried out at elevated temperatures. For many metals with negative or low positive standard electrochemical potential, direct ALD growth of the metal seems not to be possible at all. For example, there is strong interest in growing ultra-thin films of transition metals such as Ti or Ta due to their importance as adhesion promoters or for improving the interface properties between the diffusion barriers and copper films in copper-based metallization schemes. However, there have only been very few reports about plasma-enhanced ALD growth of Ta thin films by

52

2.4 ALD of Metal Films the group around S. M. Rossnagel [162, 167, 168]. Ti PEALD has even proven more difficult [167]. The strong oxidation tendency of these metals has been identified as the main obstacle to deposit them by ALD. Noble metals, in contrast, have been shown to be a lot more facile to grow by ALD, because they are much easier to reduce from an oxidation state > 0 to 0. In this respect, processes have for example been reported for deposition of Ir [133, 159], Pd [152, 169], Pt [106, 158, 170], Rh [171] or Ru [151, 160, 172], as summarized in Table 2.2. In these cases, the elemental metal is not only obtained when a classical reducing agent such as hydrogen is used as co-reactant. In many cases even oxidizing agents like oxygen or water vapor may be reacted with the precursor and, depending on the process conditions – especially temperature – metallic films are still obtained rather than metal oxides.

2.4.2 Copper To deposit copper films by ALD, several approaches summarized in Fig. 2.9 have been proposed in the literature and will be discussed in the following. Although copper has an electrochemical standard potential of + 0.34 V for Cu2+ and + 0.52 V for Cu+ [184, p. 938], which is considerably higher than the values for Ti or Ta, it can still not be regarded as a truly noble metal. Metallic copper films are therefore only accessible by ALD directly when the Cu precursor is reduced, as schematically shown in Fig. 2.9. This can be accomplished by plasma-enhanced ALD (PEALD) with atomic hydrogen as the reducing agent [163, 185, 186]. However, such approaches deteriorate ALD’s ability to conformally coat complex geometries due to the directional nature of plasma processes. As a consequence, this leads to reduced step coverage and nonconformal film growth, compromising a major advantage inherent to ALD. Although these effects can be circumvented by using remote plasma approaches to some extent, PEALD requires considerably more complex and expensive equipment than thermal ALD. In addition, comparably long plasma pulses are mostly required for efficient film growth, leading to elongated and potentially uneconomic processes. Considering this, thermal ALD should generally be favored. Pure thermal approaches to form metallic Cu directly have been proposed with [CuCl] and H2 as the precursors, requiring elevated processing temperatures between 360 and 500°C [187–190]. These processes yielded coarse grained films or isolated Cu clusters only, being mainly due to the strong agglomeration tendency Cu exhibits especially on

53

2 Atomic Layer Deposition — Applications and Basic Principles

Table 2.2: Selected examples of metal ALD processes. Metal Ag Al Co

Process type PEALD PEALD PEALD

Co

thermal ALD

Precursor combination Ag(O2 Ct Bu)(PEt3 ) / H2 TMAa / H2 [CpCo(CO)2 ], [Co2 (CO)8 ] / H2 , N2 Co(i Pr-amd)2 b / H2

Substrates Si, glass Si, SiO2 , TiN Si

Ref. [173] [161] [174]

Si, SiO2 , Si3 N4 , glass, C, WN TiO2 , Al2 O3 glass TiN SiO2 , glass Ir, W, Si, TaN

[175, 176]

Ir Ni Ni Ni Pd

thermal ALD thermal ALD thermal ALD thermal ALD PEALD

Ir(acac)3 / O2 Ni(acac)2 / O3 NiCp2 / H2 O Ni(i Pr-amd)2 / H2 Pd(hfac)2 / H2

Pt

thermal ALD

MeCpPtMe3 / O2

Rh Ru Ru Ru Ta

thermal ALD thermal ALD thermal ALD thermal ALD PEALD

Rh(acac)3 / O2 RuCp2 / O2 DER / O2 Ru(EtCp)2 / O2 TaCl5 / H2

TaN, Si, BSGe , Al2 O3 , YSZf Si, BSG Al2 O3 , TiO2 Si, SiO2 , TiO2 , TiN TiN Si, SiO2

Ti W

PEALD thermal ALD

TiCl4 / H2 WF6 / Si2 H6

SiO2 Si, SiO2 , Al2 O3

[133, 159] [177]c [178]d [175] [152, 169, 179] [106, 158, 170] [171] [151] [160] [172] [162, 167, 168] [167] [180–183]

a

TMA = Trimethylaluminum amd = amidinate c Metallic Ni was obtained after reducing an ALD-grown NiO film. d A two-step ALD process to form NiO by thermal ALD and metallic Ni during a H plasma reduction step was applied. e BSG = Borosilicate glass f YSZ = Yttria-stabilized zirconia b

substrates like silica, refractory metals or refractory metal nitrides [191–196]. Therefore such processes are problematic for applications where ultra-thin, continuous films are required. In addition, the use of solid precursors with high melting points such as metal halides further complicates the processes. Subliming the precursors in situ often makes it cumbersome to obtain a constant and reproducible precursor flow rate, which is indispensable for reproducible processes applicable to mass production. Furthermore, solid sources are prone to particle generation, which can lead to contaminations of both

54

2.4 ALD of Metal Films

Cu precursor

Chemisorption on substrate surface Purge

Purge

Reducing agent Purge

Nitriding agent

Purge

Cu sub-monolayer

Purge

CuxN sub-monolayer

CuxO sub-monolayer monolayer n cycles

Reduction Purge

CuxO film

n cycles

Purge

Oxidizing agent

Cu sub-monolayer

Reduction

Nitridation Purge

CuxN sub-monolayer n cycles

n cycles

n cycles

CuxN film Reduction

CuxN film Reduction

Cu film (Thickness control by number of cycles n)

Figure 2.9: Different approaches for ALD growth of Cu films reported in the literature.

the growing film and the deposition chamber. By using metal-organic precursors, in contrast, lower sublimation temperature, and in many cases precursor liquid delivery or bubbling as well as reduced processing temperatures are possible. In this respect, Cu(II) beta-diketonates have been studied. With copper(II) hexafluoroacetylacetonate, [Cu(hfac)2 ], ALD was reported from 230°C [197, 198]. Although fluorinated precursors exhibit the advantage of greater volatility compared to their non-fluorinated counterparts, adhesion problems of the deposited films have been observed as a result of fluorine-containing residues accumulating at the interface to the substrate in Cu CVD processes from [(TMVS)Cu(hfac)] (trade name: CupraSelect; TMVS = trimethylvinylsilane) [199–201]. As an alternative, ALD was studied using the non-fluorinated copper(II) tetramethylheptanedionate, [Cu(thd)2 ], and direct reduction to form Cu. However, these processes turned out to be highly substratedependent, as film growth relied on catalytic underlayers such as Pt or Pd [202]. Other studies [177] applied the solid copper(II) acetylacetonate, [Cu(acac)2 ], to deposit copper mainly on metallic substrates such as Ti or Al. However, it is questionable if those processes yielded metallic copper, as the results point to the formation of copper ox-

55

2 Atomic Layer Deposition — Applications and Basic Principles ide. Furthermore, no indication was given there about the film quality and the actual characteristics of the process with respect to ALD- or CVD-like growth. Apart

from

Cu(II)

precursors,

Cu(I)

amidinates

such

as

N,N’-di-sec-

butylacetamidinato-copper(I) (i. e., [Cu(s Bu-amd)]2 ) or N,N’-diisopropylacetamidinatocopper(I) (i. e., [Cu(i Pr-amd)]2 ) have recently been reported to react with hydrogen already at temperatures ≤ 200°C to give copper films by ALD [175, 203–205]. Although these approaches appear intriguing, there are still several obstacles: Most of these precursors are solids under standard conditions. Furthermore, smooth and continuous ALD Cu films are only obtained on metallic nucleation layers such as Co or Ru, while discontinuous islands grow on materials like WNx or SiO2 [176, 206]. With respect to a practical application, such requirements would result in rather complex processes. To circumvent theses issues associated with direct precursor reduction for growing metallic Cu films, alternatives are being discussed with respect to simple hence costeffective processes. CVD and ALD approaches include the formation of films of copper compounds such as oxides [177, 197, 198, 207–209], nitrides [210, 211], or oxynitrides [212] which are subsequently reduced (cf. Fig. 2.9). Regarding the approach via oxidic films, reduction may also occur as part of the ALD cycle itself [197]. Furthermore, copper nitride formation has been reported as a two-step process via nitridation of a pre-formed copper oxide [211]. Although being not as straight-forward from a processing point of view as the direct ALD of Cu, such approaches allow the film growth by thermal ALD at moderate temperatures, which is a prerequisite for obtaining smooth films with acceptable step coverage in high-aspect-ratio features and on three-dimensional nanostructures. To maintain continuous films during reduction, this processing step also needs to be carried out at temperatures that are comparable or lower than the ALD temperature. Because efficient treatments with molecular hydrogen in most cases do not fulfill this prerequisite, organic reducing agents such as alcohols, aldehydes, carboxylic acids [197, 198, 207, 208, 213] or reducing plasmas are favored. However, in case of plasma processing care has to be taken as to guarantee a sufficient treatment of the films especially in demanding nanoscale geometries. With respect to hydrogen-based plasma processes, reports indicate remote plasma reduction to be a viable method [212]. As a summary, the various reports for ALD Cu growth are listed in Table 2.3.

56

2.4 ALD of Metal Films

Table 2.3: Results of ALD growth of Cu films reported in the literature. The process type indicates whether Cu was obtained directly, as oxide, as nitride, or as oxynitride and if the process was plasma-based or thermal ALD. For the oxide- and nitride-based processes, reducing agents used are given in italics. Furthermore, also recently reported CVD results that are closely related to respective oxide and nitride ALD processes are listed and indicated by “CVD” as the process type. Process type Direct, PEALD Direct, PEALD

Precursor combination Reducing agents Cu(thd)2 / H plasma Cu(acac)2 / H plasma

Direct, th. Direct, th. Direct, th. Direct, th. Direct, th.

CuCl / H2 CuCl / Zn CuCl / (H2 O + H2 ) Cu(acac)2 / H2 Cu(thd)2 / H2

ALD ALD ALD ALD ALD

Direct, th. ALD Direct, th. ALD

[Cu(i Pr-amd)]2 / H2 [Cu(s Bu-amd)]2 / H2

Oxide, th. ALD

Cu(hfac)2 / H2 O Formalin, IPAh Cu(hfac)2 / H2 O Ethanol (TMVS)Cu(hfac) / H2 O2 Formic acid [Cu(s Bu-amd)]2 / NH3 H2 Cu(hfac)2 / H2 O / NH3 [Cu(s Bu-amd)]2 / H2 O / NH3 Remote H plasma

Oxide, CVD Oxide, CVD Nitride, th. ALD Nitride, th. ALD Oxynitride, CVD

Substrates

Ref.

TaN, Au, SiO2 TaN, TiN, Cu, Ru, Si, SiO2 Ta Al2 O3 SiO2 , Al2 O3 Ti, Al, glass Pt, Pd, (TiN, Ta, Ni, Fe, ITO)e Co, SiO2 Ru, Co, WN, SiO2 , Si3 N4 Ta, TaN, TiN, glass

[163] [185, 186]

TaN

[207, 209]i

Ta, Ru

[208]j

SiO2 , quartz, Si, Ru, Co, NiSi, WN SiO2 , Al2 O3 Ru, SiO2

[210]k

[187]a [188]b [189, 190]c [177]d [202] [175, 204]f [176, 206]g [197]

[211] [212]j

a

Rough and potentially discontinous films were obtained only. No saturation was obtained due to reversible dissolution of Zn in the Cu film. c Only isolated clusters but no continuous films were obtained. d From the results presented, it appears questionable if metallic copper was obtained rather than copper oxide. No indication was given about film quality and typical ALD behavior of the process. e On the substrates in parentheses, ALD with this precursor combination failed. f Continuous films were only obtained on Co. g Continuous films were only obtained on Co or Ru. h IPA = Isopropanol i Discontinuous films were obtained only. j Continuous films were only obtained on Ru. k The best results were obtained with ALD Ru or ALD WN as an adhesion layer. b

57

2 Atomic Layer Deposition — Applications and Basic Principles

58

3 Experimental Approach for Developing a Copper Oxide ALD Process For the deposition of ultra-thin copper films, the current work follows the approach of forming a copper compound film by thermal ALD, which is subsequently reduced (cf. Fig. 2.9, p. 55), to avoid any drawbacks related to PEALD, such as reduced step converage and film conformality on demanding nanostructured substrates. In particular, the ALD processes are based on the formation of oxidic Cu films from a Cu(I) β-diketonate precursor, as described in the following. Furthermore, a number of analysis techniques is applied to obtain insight into the ALD processes as well as for characterizing the films obtained on different substrate materials.

Reactivity

Stability

Volatility

Figure 3.1: Magic triangle of precursor selection.

3.1 Precursor Considerations Because ALD relies on the reaction of a chemisorbed monolayer of a metal precursor with a co-reactant to form the desired material, a suitable combination of a metal precursor with a co-reactant must be found. Regarding the metal precursor, this selection

59

3 Experimental Approach for Developing a Copper Oxide ALD Process may be considered a magic triangle (Fig. 3.1) of finding a compromise between volatility, reactivity, and stability. Furthermore, precursor availability and hence cost aspects should be taken into account for a process with practical relevance. CH3 O

(n-Bu)3P

C

Cu (n-Bu)3P

CH2 O

C CH3

Figure 3.2: Structure of [(n Bu3 P)2 Cu(acac)] (molecular weight: 567.3 g/mol). The precursor is a liquid under standard conditions, showing a pale-yellow color. In co-operation with the Inorganic Chemistry Group at Chemnitz University of Technology, headed by Prof.

Heinrich Lang, the copper(I) complex bis(tri-n-

butylphosphane)copper(I)acetylacetonate, [(n Bu3 P)2 Cu(acac)], was identified as a candidate for possible use in ALD processes. Its molecular structure is presented in Fig. 3.2. The synthesis of the precursor was reported first by the Shin et al. in 1992 [214]. By Lang’s group, it has also been shown to be available in acceptable yields from a straightforward synthesis methodology [215]. For the ALD experiments, precursor batches of 50 to 70 g were typically synthesized. From a processing point of view, the precursor appears attractive by several points: At first, it is a liquid under standard conditions, which should make precursor dosage during the deposition processes more controllable and reproducible in comparison to a solid precursor. Furthermore, the substance is non-fluorinated. This is expected to help improve the adhesion of the deposited films, because for Cu CVD it has repeatedly been shown that fluorine-containing residues accumulating at the film/substrate interface considerably deteriorate adhesion [199–201]. Apart from this, using nonfluorinated educts for precursor synthesis also considerably reduces the cost. On the other hand, fluorinated precursors often exhibit considerably higher vapor pressure compared to their non-fluorinated counterparts, facilitating evaporation and precursor delivery. Indeed, vapor pressure measurements carried out by Dr. A. Siddiqi (Gerhard-

60

3.2 ALD Equipment and Process Conditions Temperature (°C)

Vapor Pressure (mbar)

126.9

60.2

12.6

10 1 0.1 (TMVS)Cu(hfac) Cu(hfac)2 Cu(acac)2 (n Bu 3P) 2Cu(acac)

0.01 0.001 2.0

2.5

3.0 3.5 1000/T (1/K)

4.0

Figure 3.3: Vapor pressure of [(n Bu3 P)2 Cu(acac)] compared to other commonly used Cu CVD/ALD precursors [216–218]. Mercator-Universität Duisburg) revealed a vapor pressure of 0.02 mbar at 98°C for [(n Bu3 P)2 Cu(acac)] (Fig. 3.3), which is comparable to the solid Cu(II) β-diketonate [Cu(acac)2 ]. However, as ALD relies on the successive chemisorption of monolayers of a precursor molecule, whereas in CVD high deposition rates are mostly desired, as high a precursor feed rate as for CVD is not required for ALD. Therefore, less-volatile liquids can be applied as precursors as well. With respect to the thermal properties, Cu CVD by thermal disproportionation was shown earlier with [(n Bu3 P)2 Cu(acac)] at a temperature of 220°C [215]. As differential scanning calorimetric studies of the molecule showed major decomposition peaks only at 237°C and 255°C [215], the precursor was chosen as a viable candidate for lowtemperature ALD studies. However, because no systematic study on the CVD behavior of the precursor was available, screening experiments described in Sec. 4.1.1 were carried out to establish proper processing conditions for the subsequent work on the ALD processes.

3.2 ALD Equipment and Process Conditions The ALD processes were carried out in a Varian Gartek cold-wall, 100 mm single-wafer vertical flow reactor, originally designed for CVD processes. The process control sys-

61

3 Experimental Approach for Developing a Copper Oxide ALD Process tem was modified to allow for automated, cyclic processing, definition of processing recipes, and process control via an external computer [219]. The reactor is equipped with a load-lock chamber to avoid venting of the deposition chamber for sample loading and unloading. The ALD system is evacuated with a combination of a turbomolecular and a roots pump, both backed with rotary pumps, to achieve a base pressure of < 3 x 10-6 mbar. The ALD processes themselves are typically carried out at pressures between 0.8 and 1.5 mbar. In Appendix A (p. 159), a schematic of the vacuum system of the equipment as well as a photograph can be found. During deposition, the chamber walls are kept between 75 and 85°C to avoid precursor condensation. Due to the large reactor volume of nearly 13 liters, gas pulses of 3 to 11 s are typically required both to reach saturation of the precursor chemisorption as well as to guarantee sufficient purging of the chamber. The copper precursor is stored at room temperature under Ar atmosphere in a stainless-steel stock bottle, into which it is transferred under inert gas after synthesis. During processing, it is evaporated by a Bronkhorst liquid delivery system (LDS) with Ar as carrier gas. This approach avoids exposing the precursor stock to heat and thus leads to a longer shelf life of the substance. Only at the time of the process is the liquid precursor transported to the evaporator unit by an Ar back-pressure. In the evaporator, it is vaporized by heating to a temperature between 85 and 100°C and mixed with the carrier gas. The mixture is then transferred to the deposition chamber via heated stainlesssteel tubes. Another LDS, similar to the one used for precursor dosage, is available on the equipment for use with a second precursor or liquid co-reactant. Furthermore, a simple bubbler system to evaporate volatile liquids, which can sustain storage at elevated temperature, is available. During the ALD experiments, the system was used to supply water vapor by bubbling Ar through a water reservoir kept in a stainless-steel bottle. Schematics of both the LDS and the bubbler system can be found in the Appendix A (p. 159). Additionally, the ALD chamber is equipped with five gas lines. Besides N2 for venting and Ar for purging of the chamber, O2 , H2 , and NH3 are available as reactant gases. Different substrate materials were used for the ALD experiments. At first, being the preferred diffusion barrier system for ULSI Cu interconnects, Ta-based layers were applied. In this respect, 40 nm of TaN or combinations with Ta (Ta/TaN, i. e., for example, 20 nm Ta on top of 20 nm TaN) were sputtered onto 100 mm Si wafers. For the intial

62

3.3 Material Characterization and Analysis Techniques CVD screening experiments (cf. Sec. 4.1.1), however, stacks of 100 nm Ta sputtered in-situ on 50 nm TaN were used. The sputtering was realized in a Balzers CLC 9000 equipment. The Ta/TaN stacks were formed in continuous processes by turning off the N2 flow when the desired TaN thickness was reached. Due to this, a continuous transition was obtained from TaN to Ta, and in some cases the Ta was also unintentionally nitrided. Stoichiometric TaN was deposited when a gas flow ratio Ar:N2 of 4:1 was applied during the reactive sputtering processes. For some samples, this standard process was modified to create Ta-rich TaN films by using an Ar:N2 ratio of 26:1. Furthermore, Si substrates coated with 100 nm Ru on top of a 10 nm Ti adhesion layer, both prepared by evaporation, were purchased from Advantiv Technologies, Inc., Fremont, CA (USA) and used as received. For depositions on SiO2 , 100 mm Si wafers were thermally oxidized in a Centrotherm 1200 tube furnace prior to the ALD processes. Thin silica films of 20 nm thickness were obtained by dry oxidation at 900°C by flowing 6000 sccm O2 together with 100 sccm HCl gas to getter alkaline metal contaminants [220]. Thicker SiO2 films of 300 nm were grown by wet oxidation at 1000°C from in-situ burning of H2 with O2 in a flow ratio of 13:8 for 30 min and 18:6 for the remaining 14 min of the process. During ALD processing, the substrates – complete 100 mm wafers or wafer pieces – are placed on a graphite plate and heated resistively with a graphite heater within the ALD chamber. Depending on gas flow and processing pressure, a substrate temperature of up to 400°C is possible. However, the ALD processes were carried out at wafer temperatures below 160°C, typically between 100 and 130°C. During the processes, the temperature is monitored by a Pt/PtRh thermocouple placed in the graphite heater. Using calibration measurements carried out at different pressure, varying gas compositions and flow conditions, the actual sample temperature can be calculated.

3.3 Material Characterization and Analysis Techniques To characterize the ALD processes and materials systems obtained, different analysis techniques were applied. In the current work, exclusively ex-situ methods were used due to the absence of any in-situ analysis capabilities on the ALD equipment. However, especially for processes to grow films in the range of several nanometers, in-situ analytics are highly desirable for a thorough characterization. Otherwise one might easily be

63

3 Experimental Approach for Developing a Copper Oxide ALD Process misled by modifications the samples undergo upon exposure to ambient. This is of particular relevance in case of metallic films, which could experience oxidation and other modifications as a result of air contact. Depending on whether base or noble metals are deposited, such effects can take place so fast that it is not possible to analyze the original state after ALD with reasonable effort by only using ex-situ techniques. For example, it was reported for the ALD of Ti films that they are oxidized within seconds once they are transferred out of the ALD chamber [167]. Also in the current work it is found that the ALD-grown films change their constitution upon exposure to air, as will be reported in Sec. 5.1.2 (p. 130). However, because Cu is a more noble metal than Ti, for example, these effects are found to occur on a timescale that still allows ex-situ characterization to some extent. Furthermore, by combining the different techniques and reasonable evaluation of the data obtained, it is believed that a comprehensive picture of the processes taking place could still be gained.

3.3.1 Film Morphology, Structure, and Adhesion The surface structure of the samples was studied by field-emission scanning electron microscopy (SEM) as a standard method. For this purpose, a LEO 982 Digital Scanning Microscope was used. A general experience from the analyses was, however, that imaging the ultra-thin films of about or below 10 nm thickness was challenging. By SEM, only plan-view images of the films could normally be obtained, while in cross-sections the ALD layers could mostly not be imaged due to their low thickness. But also for the plan-view analyses, in the majority of cases partial etching of the ALD films was necessary to obtain a contrast between the substrate and the films, since they tended to replicate the surface morphology of the substrate rather well. To etch both copper and copper oxide films with selectivity to the substrates, an aqueous solution of ammonium persulfate (NH4 )2 S2 O8 was used. Selected samples were further studied by transmission electron microscopy (TEM), both after cross-sectional and lateral sample preparation, to obtain more insight into the film structure as well as to verify the thickness values obtained from ellipsometry, as discussed below. A Philips CM20 TEM equipped with a field-emission gun was used for these investigations. For the TEM sample preparation, care had to be taken because of the special properties of such extremely thin copper and copper oxide films. As the sample holders, apertures of gold rather than standard copper apertures were used in

64

3.3 Material Characterization and Analysis Techniques order to avoid any misleading contaminations that might result from ion-beam thinning of the TEM slides. However, especially for the films grown on Ta or TaN preparation and TEM imaging proved difficult due to the strongly differing atomic masses of the films and the substrates. This resulted in different sputter yields during ion milling, so that uneven thickness of the TEM slides added to the differing electron transmissivity of the materials present in the samples. Special care also was required for the crosssectional preparations for which the samples were glued face-to-face to a bare Si wafer. To prevent agglomeration of the ALD films as well as possible intermixing with the epoxy-based adhesive, the specimens were cured at a temperature not higher than 80°C, considerably below the ALD processing temperature. In addition to the electron microscopies, atomic force microscopy (AFM) with a Digital Instruments NanoScope IIIa in tapping mode, using standard silicon tips, was applied to study the morphology and roughness of the films. AFM was also used for studying the film growth on the different substrates under varying ALD conditions. For evaluating the adhesion of the ALD films on the different substrate materials, tape tests were performed using Tesa 4129 tape with an adhesion force of 8 N per 25 mm.

3.3.2 Film Thickness and Optical Properties Spectroscopic ellipsometry (SE) is considered a powerful method for the characterization of thin films, especially also with respect to semiconductor manufacturing and deposition methods such as PVD and ALD [221–224]. In the current work, the ALD samples underwent a routine SE characterization with the primary goal to determine the film thickness by a fast and non-destructive method. For the investigations, a SENTECH SE 850 spectroscopic ellipsometer together with the analysis software SpectraRay 2 was used. This instrument features three light sources for measurements in the UV/VIS/NIR spectral ranges from a wavelength of 190 nm to 2.5 µm. It can accommodate wafers up to a diameter of 300 mm and has an automated (r, ϕ) stage for wafer mapping. Furthermore, multi-angle measurements are possible. This feature is particularly important for reliably determining the thickness of very thin films, especially in case of film stacks. However, material properties are not retrieved directly from ellipsometry. Rather, spectra of the two ellipsometric angles, Ψ and ∆, are obtained that describe the change of the polarization state incident light experiences upon interaction with the sample. Those spectra, whose characteristics also depend on the angle of incidence, represent

65

3 Experimental Approach for Developing a Copper Oxide ALD Process the optical response of the entire film stack including the substrate. To obtain properties such as the complex dielectric function or complex refractive index as well as the thickness of the film of interest, a model needs to be created that describes the optical properties of the film/substrate system by means of mathematical expressions. By numerical routines, the model parameters are then varied in order to find the best possible match between the measured and the calculated (Ψ, ∆) spectra. Once an appropriate model is found, SE allows to quickly obtain information about film thickness and optical properties, which makes the method more and more attractive for industrial use as a process monitoring tool. Nevertheless, the experiences from the current work teach that it can be a quite challenging and time-consuming task to find viable models that properly reproduce the measured spectra. Especially this is the case if samples with multiple metallic films have to be considered. To obtain reliable information about the uppermost film, i. e. the ALD film in most cases, it was necessary to create models for the substrate and the starting layers such as TaN or Ru separately. In case of the evaporated Ru it was found that exposing those films to heat, even at temperatures as low as the ALD processing temperature, leads to changes in their optial properties. Therefore, separate models for heat-treated Ru had to be created. Otherwise changes induced by such effects would be assigned to the ALD film on top of an as-deposited Ru layer and lead to erroneous models for the ALD film. Furthermore, as SE is an indirect characterization method, it was found necessary to accompany these investigations by other techniques such as SEM or TEM in order to verify the ellipsometric results, especially with respect to the film thickness. However, as ellipsometric material characterization is not the primary objective of this work, fundamentals about this method and especially a compilation of the models used to describe the substrates and ALD films can be found in the Appendix B.

3.3.3 Sample Composition and Chemical State For a sound characterization of any ALD or CVD process, especially if compounds rather than elemental films are deposited, chemical microanalysis is indispensable. A method readily available in this respect is energy-dispersive X-ray analysis (EDX). In the current work, a Röntec EDX system, available on the LEO 982 SEM, was used to characterize the chemical composition of the films obtained. However, by EDX it

66

3.3 Material Characterization and Analysis Techniques is only possible to conclude which elements are present in a given sample, while no information about the chemical state is obtained [225, p. 265, 317]. Furthermore, for films of < 100 nm thickness the method does not yield very precise information in most cases. This is due to the penetration depth of the primary electron beam of several hundred nanometers, even at a primary energy as low as 3 keV. Consequently, signals originating from the substrates are also obtained so that a quantitative analysis of the film composition and especially an evaluation of any contaminants in the lower percentage range usually fails. However, EDX can deliver valuable information when comparative experiments are carried out and the spectra of similar samples prepared within a row of experiments are normalized, so that trends for changes in sample composition due to variations of process parameters can be readily detected. Despite, for a thorough chemical characterization of ultra-thin films more surfacesensitive methods have to be applied. In the current studies, X-ray photoelectron spectroscopy (XPS) was found of great importance, especially also because information about the chemical state of any elements can be gained by this method [225, p. 111]. Moreover, XPS is a more sensitive analysis method than EDX, so that also contaminants down to a concentration of ∼ 0.5 at-% can reliably be detected. For deposition processes such as ALD, where films in the range of a few nanometers are created, XPS and especially angle-resolved XPS (ARXPS) is therefore widely regarded as a standard and indispensable analysis method [226, 227]. For process development, XPS analysis capabilities are preferably attached to the ALD equipment. The deposited films can then be analyzed without being exposed to air, so that any modifications due to oxidation or carbon contamination can be ruled out. However, in the current work ex-situ XPS analyses were carried out on a PHI 5600 (Physical Electronics) with monochromatized Al Kα X-rays (excitation energy: 1486.7 eV) used for excitation, as described in details in Ref. [226]. This equipment is also capable of ARXPS. Additional XPS and ARXPS investigations were carried out on a PHI Quantum 2000 (Physical Electronics) setup. This equipment features an Ar ion sputtering gun where the sputtering energy can be reduced to 1000 eV, making it suitable for examining films with only several nanometers thickness. In addition to the chemical analysis by XPS, samples prepared for TEM were investigated by electron-energy loss spectroscopy (EELS) and electron diffraction (ED) on the Philips CM20 TEM. By EELS in conjunction with TEM it is possible to obtain

67

3 Experimental Approach for Developing a Copper Oxide ALD Process information about the spatial distribution of the elements present in the samples [225, p. 321]. However, being less sensitive than XPS, only limited information is available about contaminants, unless they are piling up at certain places such as interfaces. ED, on the other hand, can provide information about compounds being formed by matching the diffraction patterns obtained with the known ones taken from the respective database for any elements or compounds potentially present in the sample investigated.

68

4 ALD Processes for Copper Oxide Growth

4.1 Initial Screening Experiments 4.1.1 CVD Studies As mentioned in Sec. 3.1, CVD copper deposition from [(n Bu3 P)2 Cu(acac)] was shown at 220°C in a cross-flow reactor [215]. However, because no systematic study about the CVD behavior of the precursor had been available, a series of CVD experiments was conducted using the same reactor as for the later ALD processes. Since the precursor, as a Cu(I) β-diketonate, is expected to deposit metallic Cu by thermal disproportionation during CVD [228], the goal of these studies was to identify a suitable temperature range for ALD processes, where the precursor would display no or only little self-decomposition. In addition, valuable experience could be gained from this work with respect to the precursor delivery, as by that time no information was available about the volatility of the precursor. Therefore, an optimum evaporation temperature in conjunction with precursor and carrier gas flow ratio had to be found experimentally as well. In this respect it also needs to be mentioned that the LDS used for the precursor dosage relies on a calorimetric measurement of the flow of the liquid. For an exact measurement of the flow rate in units of mg/min, therefore the specific heat capacity C p of the precursor has to be known [229]. Since this value is unavailable for [(n Bu3 P)2 Cu(acac)], the flow rate was estimated by using the value of C p = 1.15 J/g K available for (TMVS)Cu(hfac) [230], a closely related CVD Cu precursor. For the CVD experiments, an optimum flow ratio of 2100 sccm Ar with 10-20 mg/min precursor, evaporated at a temperature of 90°C, was found. The high carrier gas flow was necessary to avoid condensation of the precursor vapor in the delivery lines. These conditions also served as the starting point for further optimizing the precursor delivery during the development of the ALD processes. CVD processes carried out on Ta underlayers according to the process conditions

69

4 ALD Processes for Copper Oxide Growth

Table 4.1: Process conditions for CVD experiments using [(n Bu3 P)2 Cu(acac)]. Substrate Substrate temperature (°C) Process time (min) Pressure (mbar) Estimated precursor flow rate (mg/min) Argon carrier gas flow (sccm) Evaporator temperature (°C) Reactor wall temperature (°C)

Ta (100 nm) / TaN (50 nm) or Ta (20 nm) / TaN (20 nm) 90-190 60 0.6 10-20 2100 90 85

summarized in Table 4.1 led to the formation of coarse-grained, metallic Cu films after 60 min at temperatures at and above 160°C, which is revealed by XPS depth profiling in Fig. 4.1 as well as by the SEM micrographs in Fig. 4.2. In contrast, only slight deposition of isolated clusters during 60 min process time was obtained up to a substrate temperature of 130°C, as indicated by the images in Fig. 4.2 a-d. Considering this, ALD processes using [(n Bu3 P)2 Cu(acac)] as the precursor should be carried out well below 160°C, preferably at 130°C or less. The XPS depth profile of the sample obtained at 190°C (Fig. 4.1) also points out that a carbon contamination of 3-5 at-% is present

100 90 Concentration (at-%)

80 70

Cu

60

Ta

50

N

40

C

30

O

20 10 0 0

5

10 15 Sputter time (min)

20

Figure 4.1: XPS depth profile of a 175 nm thick Cu film on Ta/TaN obtained by CVD from [(n Bu3 P)2 Cu(acac)] at 190°C.

70

4.1 Initial Screening Experiments throughout the bulk of the Cu film, which increases towards the sample surface due to storage in air. A slight oxygen contamination is detected as well, especially at the Cu-Ta Results of Initial CVD Screening Studies

200 nm

(a) 90°C

(b) 100°C

(c) 115°C

(d) 130°C

(e) 160°C

(f) 190°C

(e): meas. thickness: 147 nm

Figure 4.2: Plan-view micrographs displaying results of the CVD screening exper(f): meas. thickness:SEM 175 nm Scaleiments bar of 200 nm applies all between micrographs. obtained ontoTa 90 and 190°C after a process time of 60 min. The scale bar of 200 nm applies to all images. The thickness of the Cu films deposited at 160 and 190°C measured by surface profilometry was 147 and 175 nm, respectively.

71

4 ALD Processes for Copper Oxide Growth

Table 4.2: ALD cycle for the experiments with water vapor as co-reactant. Step 1 – Precursor exposure

Pulse length (s) 5

2 – Purging step 3 – Oxidation

5 5

4 – Purging step

5

Process gases 10-20 mg/min precursor / 700 sccm Ar carrier gas 145 sccm Ar 18-20 mg/min H2 O / 200 sccm Ar carrier gas 145 sccm Ar

interface. This is most certainly due to Ta oxidation during exposure of the Ta films to ambient after sputtering, because no in-situ pretreatment of the wafers was performed before the CVD.

4.1.2 ALD Investigations with Different Co-Reactants Based on the CVD screening experiments, a temperature in the range of 130°C or below appeared most appropriate for embarking on thermal ALD processes with [(n Bu3 P)2 Cu(acac)]. To establish a suitable precursor combination, ALD experiments were carried out both with oxidizing agents for eventually obtaining copper oxide, as well as with nitriding and reducing agents to elucidate the possibility of copper nitride growth and direct Cu ALD, according to the various pathways outlined in Fig. 2.9 (p. 55). Oxidizing Chemistries

For an oxidizing ALD process, water vapor was investigated as a co-reactant first. With an Ar carrier gas flow of 200 sccm bubbled through water at 45-50°C, a water vapor flow of 18-20 mg/min was obtained. The processes were carried out with an ALD pulse sequence as presented in Table 4.2, whereby the purging gas was flown during the entire process sequence. Within this series of experiments, the precursor/Ar flow ratio was also further optimized in comparison to the CVD studies carried out before. Accordingly, the precursor was now usually dosed with a carrier gas flow of 700 sccm and evaporated at 100°C, while the stainless-steel lines to transport the gas mixture to the ALD chamber were kept at 85°C, as were the chamber walls.

72

200 nm

4.1 Initial Screening Experiments nm Results of200 ALD Screening Experiments with H2O as co-reactant 200 nm Results of ALD Screening Experiments with H2O as co-reactant

200 nm

(a) 100 cycles

(b) 200 cycles

(c) 400 cycles

Figure 4.3: study on Ta at 100°C H2 O vapor as co-reactant. scale bar of (a) ALD 100 cycles (b)using 200 cycles (c) 400The cycles 200 nm 200 nm applies to all images. 200 nm

(a) 100°C

(b) 125°C

(c) 135°C

(a) 100°C

(b) 125°C

(c) 135°C

Figure 4.4: ALD results obtained on Ta after 400 cycles using H2 O vapor as co-reactant at different temperatures. The scale bar of 200 nm applies to all images.

After the appropriate processing conditions had been established, a series of experiments was conducted on Ta substrates at a wafer temperature of 100°C. The number of ALD cycles was varied from 100 to 800. Besides this, processes of 400 cycles were carried out at varying temperatures of up to 135°C. SEM investigations of the samples reveal that no continuous films, but only isolated clusters were obtained. In this respect, Fig. 4.3 summarizes results of the series at 100°C, while in Fig. 4.4 images of the samples processed at varying temperatures are presented. The results suggest that with

73

4 ALD Processes for Copper Oxide Growth

(a)

70 60 50 40 30 20 10 0

25 Equivalent thickness (Å)

Equivalent thickness (Å)

80

(b)

20 15 10 5 0

90

100 110 120 130 140 150 Temperature (°C)

0

100 200 300 400 Number of cycles

500

Figure 4.5: (a) Characteristics for the ALD on Ta using H2 O as co-reactant with respect to growth temperature, suggesting temperature-independent growth up to 125°C. (b) Growth characteristic for similar processes at 100°C, pointing to linear growth with an incubation period of ∼ 80 cycles. For both graphs, the equivalent film thickness was calculated from the size of the clusters grown and the degree of surface coverage. The values in diagram (a) are based on 400 ALD cycles.

increasing number of ALD cycles both the density and size of the clusters deposited increases. For varying processing temperature similar effects are seen, whereby especially at a temperature of 135°C a significant increase of both density and size of the islands is visible. This is attributed to beginning CVD growth above 130°C. From the size and the density of the clusters an equivalent film thickness can be calculated by assuming spherical grains. As a result, the characteristics shown in Fig. 4.5 are obtained. In Fig. 4.5 a, a significant increase of the equivalent thickness is revealed at 135°C. In contrast, for the processes carried out at 100 and 125°C nearly the same value for the equivalent thickness was calculated. This behavior already suggests an ALD window to exist in this temperature range, which will be verified later on. Fig 4.5 b, on the other hand, indicates linear growth behavior on Ta at 100°C with an incubation period of about 80 cycles. When the water vapor was replaced by 90 sccm of molecular oxygen as the oxidizing agent, quite similar behavior was found. Again, only isolated clusters with even smaller surface coverage than with water vapor grew on the Ta substrates. However, a radical different situation evolved with water vapor and O2 being used together dur-

74

4.1 Initial Screening Experiments

Table 4.3: ALD cycle for the experiments with wet O2 as the oxidizing agent. Step 1 – Precursor exposure

Pulse length (s) 5

2 – Purging step 3 – Oxidation

5 5

4 – Purging step

5

Process gases 10-20 mg/min precursor / 700 sccm Ar carrier gas 145 sccm Ar 18-20 mg/min H2 O / 200 sccm Ar carrier gas; 90 sccm O2 145 sccm Ar

ing the ALD. Apparently, the stronger oxidation behavior of wet oxygen [184, p. 681], when compared to H2 O vapor or O2 alone, considerably promoted the deposition. With processes summarized in Table 4.3, complete films rather than isolated clusters were obtained on Ta at 135°C. In contrast to the results with either H2 O vapor or O2 , where the copper clusters could easily be wiped off the Ta surface, the films grown with wet O2 exhibited much stronger adhesion and could not readily be scratched off the wafer. However, as seen from the SEM images in Fig. 4.6 a, larger agglomerates are also found after the ALD with wet O2 . After removing a portion of the ALD film by wet etching (Fig. 4.6 b), it is revealed that the clusters apparently are formed together with a continuous film in a bimodal growth. This is also supported by XPS surface investigations (Fig. 4.7). Examining the Ta4d5 signal obtained after ALD with the different oxidizing agents clearly reveals well-resolved substrate signals for the samples grown with either H2 O or O2 , whereas the Ta signal vanishes whenever wet O2 is used. This points out that continuous films are grown with the H2 O/O2 combination. Furthermore, even though the ALD processes at 135°C are overlaid by a CVD mode, the resulting films obtained are rather different already from a visual point of view. The Ta wafer surface, specular silver before the processes, turned to a specular, golden color after the ALD (Fig. 4.8 a), whereas it appeared as specular but copper-colored after CVD processes carried out around 140°C (Fig. 4.8 b). For an initial comparison of the chemical composition of several samples, EDX was performed. Results obtained after ALD with O2 , H2 O vapor, and wet O2 are summarized in Fig. 4.9. While for the sample grown only with O2 (Fig. 4.9 a) the Cu signal is so weak that it is removed by the background correction, it appears stronger when

75

200 nm

4 ALD Processes for Copper Oxide Growth Results of ALD Screening Experiments with wet O2 as co-reactant on Ta 200 nm

Ta surface

ALD film (a)

(b)

Figure 4.6: Plan-view SEM images after 400 ALD cycles on Ta with wet O2 as coreactant carried out at 135°C. Image (a) displays the wafer surface obtained after ALD, showing agglomerates between 40 and 60 nm. As revealed after partial etching of the ALD film (b), the clusters are growing together with a continuous film. (The scale bar applies to both images.) Ta4d5

Normalized Intensity

1 0.8

H2O/O2 O2

0.6

H2O 0.4 0.2 0 240

235

230 225 Binding Energy (eV)

220

Figure Ta4d5 core level obtained XPS from Darstellung des 4.7: Ta4d5-Signals nachspectra ALD auf TaN – by Zeigen, dassALD mit samples grown on Ta with H2 O/O H2 O atwerden 135°C /(kein 400 Ta-Signal cycles. It is clearly seen that feuchtem O2 geschlossene Schichten erhalten 2 , O2 , or with bei wet ausschließlicher oxygen continuousVerwendung ALD films are obtained (no substrate signals), vom Substrat), während von O2 oder whereas by using either oxygen or water vapor Ta signals are still prominent, H2O-Dampf keine geschlossenen Schichten wachsen (deutliche Substrat-Signale) pointing to discontinuous films.

76

Photographs of wafers after ALD on Ta with H2O/O2 (a) as well as thermal CVD (b).

4.1 Initial Screening Experiments

(a)

(b)

Figure 4.8: Photographs of wafers after (a) 400 ALD cycles on Ta with wet O2 at 135°C and (b) CVD at 140°C/60 min.

Figure 4.9: EDX results after ALD with (a) O2 , (b) H2 O, and (c) wet O2 as co-reactants on a 20 nm Ta / 20 nm TaN barrier stack. For comparison, an EDX spectrum of a Cu film obtained by CVD at 140°C on 100 nm Ta / 50 nm TaN is presented in graph (d).

77

4 ALD Processes for Copper Oxide Growth

Table 4.4: ALD cycle for the experiments using NH3 as the nitriding agent. Step 1 – Precursor exposure 2 – Purging step 3 – Nitridation 4 – Purging step

Pulse length (s) 5 5 5 5

Process gases 10-20 mg/min precursor / 700 sccm Ar carrier gas 145 sccm Ar 60 sccm NH3 145 sccm Ar

H2 O is used (Fig. 4.9 b). However, the most significant Cu signal in conjunction with a stronger O signal is obtained with wet O2 as the oxidizing agent (Fig. 4.9 c). For comparison, an EDX spectrum of a Cu film obtained by CVD at ∼ 140°C is also presented (Fig. 4.9 d), showing hardly any evidence for oxygen present in the copper film. Hence, the results obtained from the ALD samples indicate that – at least partially – oxidized copper was formed, although the oxygen signal may also result from an oxidation of the Ta underlayer, which may be due to air exposure as seen before in the XPS depth profile after CVD (cf. Fig. 4.1) or to an additional oxidation during the ALD.

Reducing and Nitriding Chemistries

The experiments with wet oxygen yielded the most promising results so far from the perspective of film growth and adhesion to the Ta substrates. Nevertheless, it must be acknowledged that when using an oxidizing ALD chemistry, reducing the copper oxide films afterwards may become challenging. In contrast, when forming a copper nitride film by ALD, reducing Cu3 N to metallic Cu has been reported considerably easier [210]. At a temperature of below 200°C, it may be reduced by molecular hydrogen, while at higher temperatures it becomes instable and readily decomposes to form metallic copper [231]. Therefore, experiments were carried out first with NH3 as the nitriding agent, according to the ALD cycle summarized in Table 4.4. Apart from the possible direct formation of Cu3 N from a reaction of a copper precursor with ammonia [210, 212], Törndahl et al. [211] reported the growth of copper nitride by combining a copper oxide ALD process based on water vapor with a subsequent nitriding step. A similar approach was therefore tested as well. The respective ALD scheme is presented in Table 4.5. However, it must be noted that this six-step

78

4.1 Initial Screening Experiments

Table 4.5: ALD cycle combining H2 O-based oxidation with an NH3 -based nitridation step. Step 1 – Precursor exposure

Pulse length (s) 5

2 – Purging step 3 – Oxidation

5 5

4 – Purging step 5 – Nitridation

5 5

Process gases 10-20 mg/min precursor / 700 sccm Ar carrier gas 145 sccm Ar 18-20 mg/min H2 O / 200 sccm Ar carrier gas 145 sccm Ar 45 sccm NH3

6 – Purging step

5

145 sccm Ar

ALD pathway leads to considerably longer processes, which should be avoided from a practical point of view because of reduced wafer throughput and, consequently, higher processing costs. 200experiments nm Results of the with the nitriding chemistries are presented in Fig. 4.10.

By using NH3 , brownish, inhomogeneous deposits were obtained on Ta, consisting ofResults clusters that Screening tended toExperiments grow into (135°C) larger agglomerates and 3wire-like of ALD with NH3, H2O/NH , and H2 asstructures coreactants 200 nm

(a) NH3

(b) H2O/NH3

(c) H2

Figure 4.10: Plan-view SEM images after 400 ALD cycles on Ta with (a) NH3 , (b) 200 nm H2 O–NH3 sequence, and (c) H2 as co-reactants carried out at 135°C. (The scale bar applies to all images.)

79

4 ALD Processes for Copper Oxide Growth

Table 4.6: ALD cycle for the experiments using H2 as the reducing agent. Step 1 – Precursor exposure 2 – Purging step 3 – Reduction 4 – Purging step

Pulse length (s) 5 5 5 5

Process gases 10-20 mg/min precursor / 700 sccm Ar carrier gas 145 sccm Ar 50 sccm H2 145 sccm Ar

(Fig. 4.10 a). No complete surface coverage was obtained, and the adhesion of the deposits was very poor. In contrast, a vastly different result was experienced with the six-step processes using the H2 O–NH3 sequence. The wafers appeared bluish after the ALD, and from the SEM image in Fig. 4.10 b, quite strong surface coverage with a porous deposit is visible. However, complete films could not be obtained, and the adhesion was unacceptable as well. Therefore, no detailed chemical analysis was carried out on these samples. Although it seemed unlikely to produce acceptable results, the direct reduction of the precursor by using molecular hydrogen as the co-reactant was conducted. However, no continuous films were obtained during ALD processes at 135°C on Ta, carried out according to Table 4.6. As depicted by Fig. 4.10 c, a similar result as with H2 O vapor was obtained. Isolated nuclei of low density were deposited only. 4.1.3 Conclusions from the Screening Studies From the ALD experiments, which tried to evaluate different reaction pathways for thin film growth, as well as from the earlier CVD studies, several conclusions for the further directions towards a working ALD process can be drawn: • A temperature range up to ∼ 130°C appears most appropriate for ALD with [(n Bu3 P)2 Cu(acac)]. Above this temperature, significant disproportionation of the precursor begins, so that ALD film growth would be overlaid by CVD growth modes. • The precursor appears to be largely unreactive towards water vapor, molecular oxygen, and molecular hydrogen up to a temperature of 135°C. The respective

80

4.1 Initial Screening Experiments experiments only led to the formation of scattered nuclei with poor adhesion to the Ta substrates. • With ammonia as nitriding agent, and especially during six-step ALD processes combining an oxidizing chemistry from water vapor and a nitriding step from ammonia, stronger deposition was possible. However, in none of these cases could continuous, well-adherent films be obtained. • Only by combining water vapor and O2 to be used as wet oxygen during oxidizing ALD processes, continuous films were obtained on Ta. Despite an apparent bimodal growth behavior, which also produced larger agglomerates atop of the films, the films displayed significantly stronger adhesion to the Ta underlayers compared to the other processes and could not readily be rubbed off the substrates. Based on these findings, the deposition reaction may be proposed as follows: During the precursor pulse, partial decomposition of the precursor, leading to release of the phosphane ligands, is likely. Similar behavior is well known from other metal(I) βdiketonates used as CVD precursors [228, p. 271]. For the film-forming reaction, however, the Cu(acac) fragment then adsorbed at the substrate surface needs to react with the co-reactant. This would involve protonation of the (acac) to form volatile H(acac) and a reaction of the copper towards copper oxide, copper nitride, or elemental copper. For protonating the (acac), however, atomic hydrogen is required. While water vapor and molecular hydrogen appear largely unreactive in the temperature range studied, ammonia is more likely to decompose forming H atoms. Consequently, stronger deposition was observed with NH3 as compared to O2 or H2 O, while a combination of H2 O with either NH3 or O2 appears even more effective. However, although already H2 O alone has been found able to protonate betadiketonate ligands at higher temperatures [232], the combination of water vapor and oxygen proved most appropriate as co-reactant during the low-temperature ALD. With this combination, the quality of the films could significantly be improved so that that continuous films with good adhesion were obtained. Nonetheless, optimization is required with respect to the bimodal growth. Furthermore, following this route via oxidic copper films also means that a viable reduction process needs to be created, which must be able to form metallic copper without significantly compromising the film quality.

81

4 ALD Processes for Copper Oxide Growth

4.2 Optimization and Characterization of the ALD Processes on Different Substrates 4.2.1 Influence of Metallic Tantalum As described in the preceding section, ALD films obtained on Ta with wet oxygen as the oxidizing agent tended to grow in a bimodal manner during processes at 135°C with 5 s oxidation pulses. The CVD effects, which are likely to start overlaying the growth at this temperature, can be expected to form metallic rather than oxidized copper due to precursor disproportionation. It is well known from copper CVD with Cu(I) and Cu(II) β-diketonates that the precursors undergo decomposition preferably on metallic surfaces [216, 233–236]. Therefore, it appears likely that the larger clusters evolve as a result of an initial formation of metallic copper nuclei due to thermal disproportionation of the precursor, causing additional molecules to preferably attach to those sites in the subsequent ALD cycles. At the same time, however, a continuous and potentially oxidic film grows in an ALD mode. Considering this, each ALD cycle should aim at creating an entirely oxidic surface, so that during the next sequence incoming precursor molecules would be unable to find any surface sites where they could preferentially adsorb or even decompose. Therefore, a series of experiments on Ta (20 nm) / TaN (20 nm) was carried out with 400 ALD cycles at 135°C, during which the oxidation pulse time was successively increased. Otherwise the processing conditions were similar as during the screening experiments (cf. Table 4.3, p. 75). Figs. 4.11 a–e present plan-view SEM images of respective wafers. The results of these investigations are not unambiguous. The tendency of cluster growth was reduced with increasing oxidation pulse length and in several cases smooth and dense films were deposited at oxidation pulses of 9 or 11 s (Fig. 4.11 d–f). Nevertheless, cluster formation could not completely be suppressed under similar processing conditions on seemingly identical Ta/TaN substrates. Therefore, XPS depth profiling was carried out for possible clarification. Fig. 4.12 depicts the respective profiles. Samples where cluster formation was suppressed (Fig. 4.12 a) exhibit a significantly earlier and stronger appearance of the N signal from the underlying Ta/TaN substrates. In contrast, when clusters were present in the ALD film, the Ta and TaN layers seem better separated, which is expressed by a nitrogen signal that appears at greater distance from the sample surface (Fig. 4.12 b). Two conclusions can be drawn from these results. At first, the single-wafer sputter-

82

200 nm

4.2 Optimization and Characterization of the ALD Processes on Different Substrates ALD on Ta with varying oxidation pulse time 200 nm

(a) 5 s

(b) 7 s

(d) 9 s

(c) 11 s

(e) 11 s

Si TaN Ta

ALD film 30 nm

glue (f) 11 s

Figure 4.11: Plan-view SEM images (a)–(e) and cross-sectional TEM micrograph (f) of ALD films grown on Ta/TaN with varying oxidation pulse length. Images (a)–(c) indicate that with elongated oxidation pulses the tendency of cluster formation is reduced so that smooth films can be obtained with oxidation pulses of 9 s (d) or 11 s (e). The TEM study (f) of the sample depicted in (e) also displays a gradual transition between TaN and Ta due to the continuous sputtering process. [The scale bar of 200 nm applies to all SEM images (a)–(e).]

83

4 ALD Processes for Copper Oxide Growth

Concentration (at-%)

80 70

100

(a)

90

Ta Concentration (at-%)

90

O Cu

60 50 40 30 20

-5

O

70

Cu

60 50 40 30 N

10 0

5 10 15 20 Sputter time (min)

Ta

20

N

10 0

80

(b)

25

0

-5

0

5 10 15 20 Sputter time (min)

25

Figure 4.12: XPS depth profiles of ALD films grown on Ta/TaN with prolonged oxidation pulse length. Image (a) depicts a profile of a sample with 11 s oxidation pulse (wet oxygen) where a smooth ALD film without the formation of clusters was obtained. In contrast, (b) shows a profile of a similar sample where cluster formation was not suppressed. In the latter example, the nitrogen signal appears weaker and at considerably greater distance from the substrate surface compared to (a). This indicates that to suppress the bimodal growth behavior on Ta, not only the length of the oxidation pulse is significant. Even more so does the degree of nitridation of the Ta underlayer influence the ALD film growth.

ing process to deposit the Ta/TaN barriers (cf. p. 63) evidently yielded differing film qualities with respect to the separation of the metallic Ta layer from the underlying TaN sputtered first, so that the Ta film would eventually also be partially nitrided as a result of the continuous nature of the PVD process. The second conclusion pertains to the ALD processes. Apparently, even slight modifications of the substrate material are able to greatly affect the film growth. In fact, for the system Ta/TaN, theoretical studies by Machado et al. [237, 238] indicate that metallic Ta reacts quite aggressively towards metal-organics. Their calculations show that Cu(I) β-diketonates are likely to undergo multiple decomposition reactions upon contacting a metallic Ta surface, so that even organic ligands are decomposed and their fragments eventually become incorporated in the growing films. In contrast, thermal disproportionation of the model precursor proceeds in a much more controlled manner on TaN. However, in the ALD and CVD studies

84

4.2 Optimization and Characterization of the ALD Processes on Different Substrates described here one has to consider that the Ta substrates most likely contain a surface oxide due to storage in air. Nevertheless, the conclusions drawn still appear reasonable. This is also supported by results reported by Kim et al. [208], where during the CVD of copper oxide from (TMVS)Cu(hfac) better results were obtained on Ru in comparison to Ta due to a much weaker oxidation tendency of Ru compared to Ta. Taken together, this also sheds new light on the CVD results obtained earlier during the screening studies. As seen from the SEM images in Fig. 4.2 (p. 71), continuous copper films were never obtained on Ta. In contrast, a control experiment carried out on a sputtered Cu seed layer at 160°C under similar CVD conditions as with the Ta substrates yielded a smooth and continuous CVD copper film, so that also in those cases the detrimental effect of the Ta underlayers becomes apparent.

4.2.2 Copper Oxide Films Grown on TaN, Ru, and SiO2 Considering the results and conclusions from the ALD studies on Ta/TaN substrates, the ALD process was applied to TaN underlayers directly, in an effort to eliminate the detrimental effects of the metallic Ta. Although a bilayer system of TaN with an additional Ta film atop is the preferred diffusion barrier stack to date in conjunction with sputtered Cu seed layers, different approaches may be required for metallization systems in future ULSI device generations. On the one hand, this is due to the requirement of reducing the total barrier thickness to reserve the maximum available space of an interconnect line for the orders of magnitude more conductive copper as well as to guarantee the lowest possible via resistance. On the other hand, once PVD-based barrier metallization becomes replaced by ALD, it is likely that the barrier stack will have to be modified as well, due to the challenges faced when trying to deposit refractory metals such as Ta or Ti by ALD (cf. Sec. 2.4.1). In this respect, noble metals are being discussed for integration into future nanoscale interconnect systems [239]. Especially ruthenium is considered both a Cu adhesion layer and plating base [172, 240, 241] as well as a potential component of diffusion barriers [242–247]. Therefore, ALD studies were also carried out on Ru. In addition, thermal SiO2 films were subjected to the ALD processes in order to study the film growth on a non-conductive substrate. By doing so, it was expected to establish a different situation with respect to the surface chemistry governing the film growth and thus gain a deeper understanding of the processes taking place. The conditions for the ALD experiments are summarized in Table 4.7.

85

4 ALD Processes for Copper Oxide Growth

Table 4.7: Typical ALD cycle for the studies on TaN, Ru, and SiO2 substrates. The processes were carried out at temperatures between 100 and 155°C and a pressure ranging from 0.8 to 1.5 mbar. Reduced flows of O2 during the oxidation pulses were sometimes necessary when only pieces of wafers were coated to avoid wiping them off the carrier wafer. However, these variations were found to have no effect on the ALD results as proved by control experiments. Step 1 – Precursor exposure

Pulse length (s) 3-5

2 – Purging step 3 – Oxidation

5 7-11

4 – Purging step

5

Process gases 10-20 mg/min precursor / 700 sccm Ar carrier gas 145 sccm Ar 18-20 mg/min H2 O / 200 sccm Ar carrier gas; 45-90 sccm O2 145 sccm Ar

As result of the ALD processes on TaN, continuous, smooth films without any larger aggregates were obtained at least up to 125°C, which is in contrast to the bimodal growth repeatedly experienced on Ta. In this respect, Fig. 4.13 depicts a plan-view SEM image with partially etched ALD film grown at 120°C as well as a TEM cross-section of a comparable wafer processed at 125°C. Moreover, even at a temperature of 135°C, where considerable cluster formation was often observed on Ta even with prolonged oxidation pulses, the films grown on TaN still appeared smooth and continuous from plan-view SEM images. Only by cross-sectional TEM imaging, clusters in the range of 15-20 nm were detected in conjunction with a continuous ALD film. Respective results are presented in Fig. 4.14. With increasing temperature, however, larger clusters started to appear on TaN, too. Together with an increase in the growth per cycle (GPC), as will be discussed in detail in the following, this points to self-decomposition of the precursor setting in again. However, as discussed above with respect to the theoretic studies by Machado et al. [237, 238] the detrimental effects of metallic Ta are evidently significantly less pronounced on TaN surfaces, leading to a much better controlled ALD growth on TaN than on Ta. This is also expressed by the self-saturated growth regime obtained on TaN at 135°C for precursor pulses between 2 and 6 s (Fig. 4.15 a). Despite, beginning CVD

86

200 nm

ALD on TaN. (a)and – REM, 120°C, 400ofZyklen, 4 sProcesses Precursorpuls  Schichtdicke 4.2 Optimization Characterization the ALD on Different Substrates 3,5 nm (b) – TEM, 125°C, 400 Zyklen, 5 s Precursorpuls  Schichtdicke 3,5 nm TaN surface

Glue

ALD film

TaN ALD film

500 nm (a)

10 nm (b)

Figure 4.13: Plan-view SEM image (a) and TEM cross-section (b) of ALD films grown on TaN. Sample (a) was obtained at 120°C with 4 s precursor and 8 s oxidation pulses. By ellipsometry, a film thickness of 3.5 nm was determined. For SEM imaging, the films was partially removed by wet etching. Sample (b) was grown at 125°C with 5 s precursor and 11 s oxidation pulses. The ellipsometric thickness of 3.9 nm is verified by the TEM analysis.

effects are experienced at this temperature, which become evident by the increasing film thickness obtained for longer precursor exposure steps. The growth characteristic for this process shown in Fig. 4.15 b displays a linear behavior with increasing number of ALD cycles in the lower range, while for more than 200 cycles the data suggest a steeper increase. This could be due to a change in the surface chemistry once the substrate gets completely covered with the growing film. Eventually also CVD effects take more control with increasing ALD film thickness, because it will be shown in the following (cf. Sec. 4.3) that for processes in the temperature-independent regime a completely linear characteristic is obtained for TaN substrates. Chemical analysis of the ALD films was carried out by XPS. In this respect, Fig. 4.16 displays data of the Cu 2p3/2 core level and the Cu LMM Auger transition. The samples were fabricated at different processing temperatures both on stoichiometric TaN as well

87

200 nm

4 ALD Processes Copper Oxide ALD on TaN. (a) – for REM, 135°C, 400 Growth Zyklen, 5 s Precursorpuls  Schichtdicke 4,9 nm (b) – TEM für die gleiche Probe (c) – EELS-Map für Cu an der TEM-Probe

TaN surface

Si TaN

Clusters embedded in glue

ALD film

ALD film

20 nm

500 nm (a)

(b)

50 nm (c)

Figure 4.14: Plan-view SEM image (a), TEM cross-section (b), and Cu EELS map (c) after ALD on TaN at 135°C with 5 s precursor and 11 s oxidation pulses. For SEM imaging, the ALD film was partially wet-etched to expose the TaN surface. From image (a), the film appears as smooth as the ones depicted in Fig. 4.13. However, the TEM cross section reveals small clusters of 15-20 nm atop of a continuous film. For the thickness of the ALD film, a value of 4.9 nm was measured by ellipsometry, which is verified by the TEM result as well.

88

4.2 Optimization and Characterization of the ALD Processes on Different Substrates 0.25

60

(a) Film thickness (Å)

GPC (Å)

0.20 0.15 0.10 0.05 0.00

(b)

50 40 30 20 10 0

-2

0

2

4

6

8

Precursor pulse time (s)

10

0

100

200

300

400

500

Number of cycles

Figure 4.15: Growth characteristics for ALD on TaN at 135°C. While (a) displays GPC data based on experiments with 400 ALD cycles and 11 s oxidation pulses, (b) presents the characteristic with increasing number of ALD cycles in the saturated regime with precursor pulses of 5 s and oxidation pulses of 11 s. (The dotted lines are drawn to guide the eye.) as on tantalum nitride films prepared by a modified sputtering process to yield Ta-rich nitrides (cf. p. 63). XPS analyses carried out approximately 40 days after deposition as well as 100 days later reveal that there is a general tendency of Cu2 O formation, which is in accordance with other reports where preferably Cu(I) oxide was produced from [Cu(hfac)2 ] [207, 232] or [Cu(acac)2 ] [208] and H2 O or H2 O2 . This is shown by the Cu 2p3/2 peak (Fig. 4.16 a) at 932.4 eV [248]. However, since this signal could also be assigned to metallic Cu [248, 249], one has to take into account the Auger spectra for the Cu LMM transition (Fig. 4.16 b) as well. There Cu would be expected at a binding energy of 567.7 to 567.9 eV [249, 250] while Cu2 O should give a signal at 570 eV1 [248, 249]. Since no signal to be assigned to Cu(0) is present in the Auger spectra, the presence of Cu(I) oxide can be concluded. This is further supported by electron diffraction studies (Fig. 4.17). Apart from substrate signals originating from TaN, the diffraction reflexes obtained are best fitted to Cu2 O rather than CuO or Cu. However, the XPS core level spectra in Fig. 4.16 a also display signals at higher binding energies. In this respect, CuO would be expected at 933.2 eV [248] together with a satellite between 940 and 945 eV [248] and an Auger signal for the Cu LMM transition 1

In this respect it must be noted that in Refs. [248–250] the kinetic energy of the Auger electrons is given, while here the binding energy, i. e., the difference of the X-ray excitation energy and the kinetic energy of the Auger electrons, is reported.

89

4 ALD Processes for Copper Oxide Growth Cu 2p3/2

(a)

Cu(OH)2

0.8

CuO or Cu(OH)2

0.6

CuO

0.2 945

940 935 Binding Energy (eV)

0.8

(b)

930

CuO Cu

0.6 0.4 Cu2O

0.2

Cu(OH)2

0 580

575

570 565 Binding Energy (eV)

560

ALD process temperature:

(c)

metallic Ta

0.6

135 °C

125 °C

145 °C

bound Ta (TaN)

0.2 34

115 °C

155 °C

0.4

0

0.8

Ta 4f

1 Normalized Intensity

Cu or Cu2O

0.4

0

Cu LMM

1 Normalized Intensity

Normalized Intensity

1

32

30

28 26 24 22 Binding Energy (eV)

20

18

Figure 4.16: XPS core level spectra of Cu 2p3/2 (a) and Ta 4f (c) as well as binding energy data obtained for the Cu LMM Auger transition (b) from ALD samples on TaN. The processes of 400 cycles were carried out with precursor and purging steps of 5 s and 11 s oxidation pulses at 115, 125, 135, 145, and 155°C.

at 568.5 eV [249]. Apart from CuO, the Cu 2p satellite is also typical for Cu(OH)2 together with a major signal at 935.1 eV [249] and an Auger peak at 570.4 eV, i. e., at a similar position as for Cu2 O [249]. Since the Cu 2p3/2 core level signal as well as an Auger peak for CuO are not developed, it can further be concluded that Cu(OH)2 is present in addition to Cu2 O. It is also known from earlier studies by Baklanov et al. [251] that Cu samples exposed to air display the formation of a Cu hydroxide surface contamination. Since such effects had been observed on sputter-deposited Cu films during the current studies, too, angle-resolved XPS analyses were carried out on the ALD samples in order to elucidate whether the Cu(OH)2 results from a surface effect or if it is present throughout the entire ALD films. The respective spectra displayed in Fig. 4.18 suggest that Cu(OH)2 is only present towards the sample surface. In the bulk of

90

4.2 Optimization and Characterization of the ALD Processes on Different Substrates

TaN

Cu

Cu2O

CuO

Figure 4.17: Electron diffraction analysis of an ALD sample on TaN after crosssectional TEM preparation. Apart from substrate signals originating from TaN, the diffraction reflexes obtained are best fitted to Cu2 O rather than CuO or Cu.

the ALD films Cu2 O dominates, because the Cu(OH)2 peaks considerably decrease with increasing XPS take-off angle. Moreover, the sample grown at 135°C and examined 100 days later than the ones processed at 115 and 125°C exhibits a stronger transition toward Cu(OH)2 shown both by the position of the Cu 2p3/2 signal as well as the broader peak in the Cu LMM spectrum (Fig. 4.16 a and b). This also points out that the effect is enhanced by prolonged exposure to ambient moisture. The investigations further reveal the influence of TaN composition and processing temperature on the ALD film composition: While for the deposition on stoichiometric

91

4 ALD Processes for Copper Oxide Growth

Cu 2p3/2

1 0.9 Normalized Intensity

0.8 0.7 0.6 0.5 0.4

Angle to surface: 25° 35° 45° 60° 75°

Cu(OH)2 Cu2O

Cu(OH)2

0.3 0.2 0.1 0

948 946 944 942 940 938 936 934 932 930 928 Binding Energy (eV)

Figure 4.18: Angle-resolved XPS analyses for ALD on TaN. The Cu 2p3/2 spectra suggest that Cu(OH)2 is only present toward the sample surface, while in the bulk of the film Cu2 O dominates.

TaN up to a temperature of 135°C only small or no temperature dependence (Fig. 4.19) and thus saturated growth are observed (Fig. 4.15 a), there is a considerable increase in the GPC seen at higher temperature, resulting from beginning self-decomposition of the precursor and CVD growth modes setting in. This process is even more pronounced for the ALD on stronger metallic TaN, which is displayed by the data point marked with an × in Fig. 4.19 and related to the XPS data of the dotted blue curves in Fig. 4.16. In this respect, the Ta 4f spectrum in Fig. 4.16 c clearly shows the stronger metallic character of this tantalum nitride substrate as compared to the other TaN samples. Evidently, the stronger CVD effects in this case are due to enhanced precursor self-decomposition, again caused by the metallic Ta as discussed above. With respect to the chemical composition of the films grown under enhanced CVD conditions, one could expect metallic Cu to be present due to disproportionation of the precursor. However, the Cu 2p3/2 spectra together with the Cu LMM Auger data do not indicate this. Rather, they point to Cu2 O as in the other samples. This can either be explained by an immediate oxidation of any metallic Cu formed during the precursor pulses by the subsequent oxidation steps

92

4.2 Optimization and Characterization of the ALD Processes on Different Substrates

0.5

TaN Ta Ru SiO 2 Ta-rich TaN

GPC (Å)

0.4 0.3 0.2 0.1 0.0 90

110 130 150 Temperature (°C)

Figure 4.19: GPC as a function of temperature for ALD on various substrate materials based on ALD processes of 400 cycles. The GPC was calculated from the nominal thickness values obtained by spectroscopic ellipsometry.

in the ALD process itself, or by an influence of the air exposure of the samples. Furthermore, the data suggest the formation of Cu(OH)2 as observed before. However, due to the higher GPC the ALD films were two to three times thicker compared to the samples grown between 115 and 135°C, so that the signals originating from copper hydroxide formed on the surface appear considerably weaker in the normalized spectra. In case of the ALD on Ru and SiO2 substrates, comparable results were achieved with respect to the chemical nature of the films. As depicted by Fig. 4.20 the fraction of Cu2 O was higher on SiO2 , whereas on Ru a greater tendency of Cu(OH)2 formation than on SiO2 is seen. In addition, some CuO appears to be present on Ru, which is expressed by the broadening of the peaks both in the Cu 2p3/2 as well as in the Cu LMM spectra. This may be due to the ability of catalytic dissociation of O2 on Ru [252] towards atomic oxygen, so that Cu2 O formed during ALD could undergo an additional oxidative step, either during the ALD itself or afterwards as a result of air exposure. Regarding the film growth modes, smooth films could be obtained on Ru at all temperatures studied, despite above 130°C CVD began to overlay the ALD mode in a similar manner as on Ta. With well-controlled ALD growth being realized on Ru down to 100°C, an ALD

93

4 ALD Processes for Copper Oxide Growth Cu 2p3/2 (a) 0.8 0.6

Ru substrate

SiO2 substrate

CuO or Cu(OH)2 Cu or Cu2O

0.4

CuO

0.2 Cu(OH)2

0 945

Cu LMM

1 Normalized Intensity

Normalized Intensity

1

940 935 930 Binding Energy (eV)

(b)

Ru substrate

0.8

Cu

SiO2 substrate

0.6 0.4 0.2 0 580

Cu(OH)2

CuO

Cu2O 575 570 565 Binding Energy (eV)

560

Figure 4.20: (a) XPS Cu 2p3/2 core level spectra and (b) Cu LMM Auger spectra for ALD samples on SiO2 (solid line) and Ru (dotted line). The processes were carried out with precursor and purging steps of 5 s and 11 s oxidation pulses at 120°C.

window between 100 and 120°C was obtained (Fig. 4.19). On SiO2 , CVD effects were less pronounced and comparable to the situation on TaN, leading to more controlled ALD regimes along with an ALD window between 110 and 125°C. This is expressed by smooth films being deposited on silica, comparable to the ones obtained on TaN up to 135°C. As an example, Fig. 4.21 displays an SEM image of a 5 nm thick copper oxide film on silica grown with 800 ALD cycles. However, in contrast to the conductive

SiO2

ALD film 500 nm

Figure 4.21: Top-view SEM image of a 5 nm thick ALD film grown on 20 nm SiO2 SEM with partially etched ALD during 800 cyclestop withview 4 s precursor and 8 s oxidation pulses. The film was film on SiO2 (800 cycles) partially wet-etched.

94

4.2 Optimization and Characterization of the ALD Processes on Different Substrates substrats, the absolute values of the GPC on SiO2 are considerably smaller. To verify the SEM and XPS results, selected samples were studied by TEM and electron diffraction for microstructural and compositional analysis. Fig. 4.22 a shows a lateral TEM image of an ALD film grown on 20 nm SiO2 , indicating a nanocrystalline structure with crystallites of below 5 nm size. The corresponding electron diffraction However, after prolonged exposure of the sample to the electron beam, larger

result (Fig. 4.22 b)ofperfectly matches theinpattern expected for cuprite, i. e., Cu2 O. crystallites ~ 10 nm began to form the film.toBybeelectron diffraction, those were as metallic Cu. Similar effects were also observed during X-ray photoelectron However,identified after prolonged exposure of the sample to the electron beam, larger crystallites spectroscopy (XPS), where Ar ion-sputtered depth profiles were recorded. With increasing ALD from4.22 oxidic copper, c. toBymetallic electron diffraction, of ∼ 10 nm begansputtering to form depth, in thethefilm as films seenchanged from Fig. contradictory to the data obtained from angle-resolved XPS. This suggests that the copper oxide films undergo decomposition upon prolonged irradiation with electrons or ions.

10 nm

20 nm

Figure

10 nm

20 nm

(a)

(b)

(c)

(d)

Figure 2. Plan-view TEM image (a) of a ~ 5 nm ALD film grown on 20 nm SiO2. The film appears nanocrystalline with crystallites of below 5 nm. Electron diffraction (b) reveals that the filmTEM consists of Cu2(a) O. The matches 4.22: Plan-view image of adiffraction ∼ 5 nmpattern ALDperfectly film grown onthe20onenm SiO2 . expected for cuprite. Reflexes marked with arrows in this image stem from the singleThe film appears dense nanocrystalline with crystallites of below 5 nm. crystalline Si (100) substrate. Afterand prolonged electron beam irradiation, crystallites of ~ 10 nm began to form (c). Those were identified as metallic Cu, indicated by the Electron diffraction (b) reveals the presence of Cu2 O. The diffraction patsemicircles overlaid thematches diffractionthe pattern image (d). for cuprite. Reflexes marked with tern perfectly onein expected

arrows stem fromfrom thetheSiellipsometric (100) substrate. Afteras prolonged The plot ofinthethis filmimage thickness derived measurements a function of the number ALD cycles (Fig. 3 a) showsofa behavior that could be electron beam ofirradiation, crystallites ∼ 10 nm began to attributed form (c), which to island growth in conjunction with substrate enhancement effects: During the first were identified as metallic Cu (d).

95

4 ALD Processes for Copper Oxide Growth those were identified as metallic Cu (Fig. 4.22 d). Similar effects were also observed during XPS when Ar ion-sputtered depth profiles were recorded. With increasing sputtering depth, the ALD films changed from oxidic to metallic copper, contradictory to the data obtained from angle-resolved XPS. This suggests that the copper oxide films can undergo decomposition upon prolonged irradiation with electrons or ions. Using XPS surface analyses, the samples were also examined with respect to impurities such as carbon and other contaminants that may originate from the metal-organic precursor. Independent of the substrate, phosphorous contamination of the films was found of up to 2.0 %. This points to residues of the precursor or, more specifically, its phosphane ligands. Eventually, some of the ligands are not readily released either during the chemisorption step or the second half-reaction, so that they could react with some of the co-reactants and be incorporated in the films. Furthermore, traces of chlorine were observed between 0.2 and 1.2 %. For some of the films grown on Ta, Cl levels of up to 4.9 % were recorded. These contaminants most probably stem from the precursor as well, because CuCl is used as an educt in the synthesis. However, considering that the precursor synthesis proceeds under laboratory conditions and no special analysis techniques are applied to guarantee high purity of the precursor, it is believed that the Cl impurities could be mitigated by more elaborate contamination control and purification of the precursor, as it is done on a standard basis by commercial chemical suppliers. Also the fact that on some of the Ta samples higher-than-average Cl levels were observed can therefore not necessarily be interpreted as an effect of the substrate. Because different batches of precursor were used, which were, in addition, prepared by different people, there may be some variations in the composition of the precursor and its contamination levels, independent of any effects during the ALD. The XPS depth profile depicted in Fig. 4.23 shows impurity levels of a typical 5.2 nm thick ALD film grown on TaN. The graph also displays considerable carbon contamination towards the sample surface, but already with slightly increasing sputtering depth the C levels sharply decrease to values of 2 to 3 %. The C contamination at the wafer surface can therefore be attributed to exposing the sample to air for several days before the XPS. However, the values recorded in greater depth may indeed be due to contamination during the ALD, although also those could be overlaid by hydrocarbon contaminants from exposure to ambient. The depth profile also shows that, although the signals for the copper oxide and the TaN tend to overlap due to the small film thickness, the ALD film

96

Probe 48/02/22 F

4.3 Details of the Growth in the ALD Window

Atomkonzzentration (At.-%)

40 Concentration (at (at-%)

35 C N

30 25 20

O P

15

Cl

10

Cu Ta

5 0 0

2

4

6

8

10

Sputter time (min)

Figure 4.23: XPS depth profile of a 5.2 nm thick copper oxide film grown on TaN.

is rather well separated from the TaN underlayer. This indicates that the sputtered TaN film effectively acts as a diffusion barrier against copper, being in strong contrast to the behavior found on Ru, as will be discussed in Sec. 4.3.3.

Adhesion

For all substrates examined, the adhesion of the ALD films grown with [(n Bu3 P2 )Cu(acac)] and wet oxygen was excellent as investigated with the tape test. In no case did the films show signs of delamination. This confirms the earlier indication during the screening experiments, where the films grown with other co-reactants than wet oxygen could be readily removed from the substrates, while this was generally not the case for all samples processed with the H2 O/O2 combination.

4.3 Details of the Growth in the ALD Window Having established the regime for temperature-independent deposition, the “ALD window”, detailed investigations were carried out with respect to the film growth behavior on SiO2 , TaN, and Ru. For this purpose, a series of experiments with varying number of ALD cycles as well as different precursor pulses was conducted, after which the samples underwent characterization by spectroscopic ellipsometry (SE) and atomic force microscopy (AFM).

97

4 ALD Processes for Copper Oxide Growth 4.3.1 ALD on SiO2 Films grown both on 20 nm dry and 300 nm wet thermal silicon oxide were ellipsometrically characterized in the spectral range from 190 to 830 nm. Their dielectric function was thereby modeled with a Lorentz-Drude approach. In the ellipsometric models, roughness effects were not introduced. The substrate roughness of < 2 Å in case of the SiO2 could be neglected. Furthermore, the ALD films were also considered as homogeneous layers, because introducing effective medium models to account for rough films or inhomogeneities offer additional degrees of freedom in the models. On the one hand, those could improve the fitting results. On the other hand, such approaches can also lead to uncertainties from a practical point of view, for example with respect to the ratio of the materials forming the effective medium, any gradients that may be present in the film, and even the physical thickness of the layer. The resulting film stack used for ellipsometric modeling is schematically shown in Fig. 4.24. All substrates were characterized before the ALD to generate appropriate models for the silicon oxide films. During characterization of the ALD films, the substrate models were kept constant, assuming that the substrates were not influenced by the ALD process itself. Therefore, any alterations in the ellipsometric spectra after the ALD were attributed to the ALD films and consecutively modeled. Fig. 4.25 presents spectra of the measured ellipsometric parameters Ψ and ∆ and the fitted curves for a representative sample. Four oscillators were used in the LorentzDrude model. The ellipsometry software SpectraRay 2 thereby uses the mathematical representation according to eq. (B.8), outlined in the Appendix on page 172. For the fitting example presented in Fig. 4.25, Table 4.8 lists the parameters used to characterize the ALD film. As can be seen, the parameters of the Drude term are set to zero. During

ALD film Thermal SiO2 Si substrate

Figure 4.24: Film model used to analyze ellipsometric data from ALD films grown on thermal SiO2 . The optical properties of the Si substrate and SiO2 films were modeled as described in the Appendix B.3. ALD film TaN Native SiO2

98

Si substrate

4.3 Details of the Growth in the ALD Window 60 50

50°

∆ (°)

Ψ (°)

40 30 20

60°

10

Measurement

70°

Model

0 1

2 3 4 5 Photon energy (eV)

180 160 140 120 100 80 60 40 20 0

6

50°

60° Measurement

70° Model

1

2 3 4 5 Photon energy (eV)

6

Figure 4.25: Example of measured and modeled spectra of Ψ and ∆ for a 5.4 nm copper oxide film grown on 20 nm dry SiO2 . The MSE of the fit was 0.1756.

the fitting routine it was repeatedly found that adding the Drude term would lead to considerable correlation among the parameters, making the models unreliable. Apparently, a contribution to the dielectric function from free carriers is not relevant in the spectral range studied. Since it was found from XPS and electron diffraction that the ALD films mainly consist of Cu2 O as well as CuO or Cu(OH)2 at the surface, this appears reasonable. The plot of the film thickness derived from the ellipsometric measurements as a function of the number of ALD cycles (Fig. 4.26 a) shows a behavior that could be attributed

Table 4.8: Parameters of the Lorentz-Drude model used for fitting the exemplary (Ψ, ∆) spectra shown in Fig 4.25. The MSE of the fit was 0.1756. Film thickness (nm) 5.4 k 1 2 3 4

Ω0 k (eV) 4.52 5.45 3.72 2.95

ε∞ 2.81

ωp (eV) 0.0

Ωp k (eV) 2.88 4.25 3.60 2.36

ωτ (eV) 0.0

Ωτ k (eV) 1.24 1.64 2.08 1.06

99

4 ALD Processes for Copper Oxide Growth 6

(a)

5

Film thickness (nm)

Film thickness (nm)

6

4 3 2 1

4 3 2 20 nm dry SiO2 300 nm wet SiO2

1 0

0 00

(b)

5

0

200

400

600

800

Number of ALD cycles

1000

0

2

4

6

8

10

Precursor pulse length (s)

Figure 4.26: (a) ALD film thickness as a function of the number of cycles for the growth on 300 nm wet SiO2 at 120°C. (b) Resulting film thickness after 400 ALD cycles with varying precursor pulse length suggesting saturated growth at this temperature as well as differences in the growth behavior on SiO2 formed by dry or wet thermal oxidation.

to substrate enhancement effects: During the first cycles, a rather steep, nonlinear increase in film thickness is seen, whereas, with increasing number of ALD cycles, the curve flattens out towards a linear characteristic with a constant growth-per-cycle (GPC) [25, 253]. Furthermore, AFM investigations reveal that during the initial stages of the ALD process the surface of the flat SiO2 film considerably roughens before it again becomes smoother as a consequence of the formation of a continuous film. Similar behavior has been shown theoretically to be typical for island growth [253]. In this respect, Fig. 4.27 presents respective AFM results, while Fig. 4.28 depicts the evolution of the surface roughness, calculated from the AFM data. It can therefore be concluded that the films on SiO2 are growing in an island-growth mode, potentially governed by substrate-enhancement effects at the beginning of the process. For ALD samples grown during 400 cycles, the data in Fig. 4.28 further suggest only a minor influence of the precursor pulse length on the roughness of the films. In fact, very good saturation of the GPC for precursor pulses longer than 2 s was obtained, as shown in Fig. 4.26 b. However, there appears to be a difference in the growth behavior on the dry 20 nm SiO2 compared to the thicker SiO2 films grown by wet thermal oxidation. The dielectric functions of the copper oxide films extracted from the ellipsometric models also suggest a substrate influence on the properties of the films. As seen from the data measured for ALD films on 20 nm SiO2 (Fig. 4.29 a and b), the dielectric func-

100

copper oxide films, one still might question the results at this stage as the spectra of ε 2 suggest band gap energies well above 3 eV. Therefore, additional studies, such as ALD on wet-grown SiO2 films of different thickness as well as alternative modeling approaches and other optical characterization methods, need to be carried out for verification. Nevertheless, the results suggest that slightly theinsubstrate 4.3 Details of thealtering Growth the ALDproperties Window could considerably influence the ALD growth as well as the resulting film properties. 20 nm SiO2

50 cycles / 4 s

200 cycles / 4 s

z = 5 nm / div x = 100 nm / div

400 cycles / 3 s

400 cycles / 6 s

800 cycles / 4 s

Figure 4. Surface morphology of an initial 20 nm SiO2 film as well as after ALD processes with varying number of cycles. While the processes with 50, 200, and 800 Figure morphology of an initial well as after ALD 2 film cycles4.27: wereSurface carried out with precursor pulses of 20 4 s,nm the SiO pulse lengthaswas varied between processes at 120°C with varying number of cycles. While the processes 3 and 6 s for processes of 400 cycles.

with 50, 200, and 800 cycles were carried out with precursor pulses of 4 s and 8 s oxidation pulses, the precursor pulse length was varied between 3 and 6 s for processes of 400 cycles.

RMS roughness (nm)

0.6 0.5 0.4 3 s precursor pulse

0.3 0.2

6 s precursor pulse

0.1 0.0 0

200

400

600

800

1000

Number of ALD cycles

Figure 5. Evolution of surface roughness with increasing number of ALD cycles during growth on 20 nm SiO2. The values of the root mean square (RMS) roughness were Figurecalculated 4.28: Evolution of surface number of ALD were cycles on from the AFM imagesroughness in Fig. 4. Ifwith not increasing otherwise noted, the processes carried out precursor pulses ofThe 4 s.values of the root mean square (RMS) roughness 20with nm SiO 2 at 120°C.

were calculated from AFM data. If8not otherwise noted, the processes were

8

50 200 (a)carried out with precursor pulses of7 4 s and 8 s H2 O/O2 pulses. (c) 400 (3) 400 (6)

7

6

800

5

5

ε1

ε1

6 4

4

3

3

2

2

1

1

0

0 1

2

3 4 5 Photon energy (eV)

6

7

1 7

(b)

50

200

200 400 (6) 800

2

200

400 (3) 600

3 4 5 Photon energy (eV) 400 (3)

101 6

(d)

4 ALD Processes for Copper Oxide Growth

8

(a)

7 6

50

200

400 (3)

400 (6)

800

ε1

5 4 3 2 1 0 1 7

2

(b)

6

ε2

5

3 4 5 Photon energy (eV)

6

50

200

400 (3)

400 (6)

800

4 3 2 1 0 1

2

3 4 5 Photon energy (eV)

6

Figure 4.29: Complex dielectric function of ALD-grown copper oxide films grown on 20 nm dry SiO2 extracted from Lorentz-Drude models. The ALD processes of 50 to 800 cycles were carried out with 4 s precursor pulses, except for the experiments with 400 cycles. For those, data obtained from films grown with 3 and 6 s precursor pulses are shown. The model parameters are tabulated in the Appendix, p. 179.

102

4.3 Details of the Growth in the ALD Window

8

(a)

7 6 ε1

5 4 3 2

200 400 (6) 800

1 0 1 7

200 400 (6) 800

6 5 ε2

2

400 (3) 600

3 4 5 Photon energy (eV)

6

400 (3) 600

(b)

4 3 2 1 0 1

2

3 4 5 Photon energy (eV)

6

Figure 4.30: Complex dielectric function of ALD-grown copper oxide films grown on 300 nm wet SiO2 extracted from Lorentz-Drude models. The ALD processes of 200 to 800 cycles were carried out with 4 s precursor pulses, except for the experiments with 400 cycles. For those, data obtained from films grown with 3 and 6 s precursor pulses are shown. The model parameters are tabulated in the Appendix, p. 182.

103

4 ALD Processes for Copper Oxide Growth tion strongly varies during the initial stages of the ALD. This can be attributed to the island-growth behavior. Especially the strong feature in the absorption at 3 eV after 50 ALD cycles could be related to quantum confinement effects known for semiconductor nanoparticles [254]. With increasing number of ALD cycles, the dielectric functions tend to saturate. However, the characteristics of ε2 suggest band gaps above 2 eV also for the thicker films, while for bulk Cu2 O a band gap energy of ∼ 2 eV is typical [255]. Taking into account the TEM results shown in Fig. 4.22 (p. 95), which indicate nanocrystalline films to be present still after 800 cycles, band gap energies of > 2 eV are in agreement with data reported for nanocrystalline Cu2 O prepared by electrochemical methods [256, 257]. In contrast to the results on 20 nm dry thermal SiO2 , the dielectric function for Cu2 O films on thicker SiO2 grown by wet oxidation appears remarkably blue-shifted (Fig. 4.30). However, although these data were repeatedly obtained from the Lorentz-Drude models for the copper oxide films, one still might question the results at this stage because the spectra of ε2 suggest band gap energies well above 3 eV. Therefore, the ellipsometric data both for the films grown on 20 nm dry as well as the ones deposited on 300 nm wet SiO2 were again modeled with a Tauc-Lorentz approach. Such models have successfully been applied to a variety of metal oxides grown by ALD [224]. A short description of the theoretical background is provided in the Appendix on page 172. Concerning the film thickness, the results obtained from the Tauc-Lorentz models are in good agreement with the values calculated by the Lorentz-Drude approach. Fig. 4.31 provides a comparison by plotting the Tauc-Lorentz against the Lorentz-Drude data along with the ratio of the values. The largest deviation calculated is 7 %, but the values typically differ by less than 5 %. The models also confirm the differences in film thickness experienced on dry and wet SiO2 under similar ALD conditions. However, with respect to the dielectric function of the copper oxide films, the Tauc-Lorentz models do not reproduce the graphs in as much detail as the Lorentz-Drude models do. This is due to the fact that only two oscillators could be used for the Tauc-Lorentz approaches, because a larger number always led to significant correlation among the model paramters. A representative parameter set is given in Table 4.9. Nevertheless, comparing the dielectric functions calculated for similar samples with the two modelling approaches (Fig. 4.32 and 4.33) shows comparable behavior, both with respect to their position on the energy scale as well as concerning the values of ε1 and ε2 . Only for the sample after 50 cycles

104

4.3 Details of the Growth in the ALD Window

TL thickness (nm)

6 5 4 3 2 1 0 0

1

2 3 4 LD thickness (nm)

5

6

Figure 4.31: Comparison of the thickness calculated for the ALD films when using Lorentz-Drude (LD) and Tauc-Lorentz (TL) models. The values typically differ by < 5 %, while the maximum deviation calculated for the series of samples was 7 %.

on 20 nm SiO2 the increase in ε2 around 3 eV is not calculated as strong as with the Lorentz-Drude models (Fig. 4.32 b), which can be attributed to the smaller number of oscillators used in the Tauc-Lorentz models. When comparing the Tauc-Lorentz spectra of the films grown on 20 and 300 nm SiO2 , again a blue-shift in the dielectric function, depending on whether the ALD is carried out on dry or wet silica, is seen. In conclusion, it has been shown that within the ALD window, well-saturated film growth can be obtained on SiO2 substrates, whereby the copper oxide layers grow in an island-growth, substrate-enhanced mode. From both film thickness and dielectric properties obtained from ellipsometric measurements, it must be concluded that differences

Table 4.9: Parameters of the Tauc-Lorentz Model with two oscillators, describing the dielectric function of a 4.9 nm Cu2 O film on 20 nm SiO2 . The MSE of the fit was 0.1870. Film thickness (nm) 4.9

ε1 ∞ 1.54

k 1 2

Eg k (eV) 1.28 5.34

Ak (eV) 160.81 -243.28

E0 k (eV) 11.71 5.46

Ck (eV) 65.99 1.96

105

4 ALD Processes for Copper Oxide Growth

8 (a)

7 6 ε1

5

50 TL

50 LD

400 TL

400 LD

800 TL

800 LD

4 3 2 1 0 1

2

3 4 5 Photon energy (eV)

6

7 (b)

6

ε2

5

50 TL

50 LD

400 TL

400 LD

800 TL

800 LD

4 3 2 1 0 1

2

3 4 5 Photon energy (eV)

6

Figure 4.32: Comparison of the dielectric function modeled for ALD films grown on 20 nm SiO2 using Lorentz-Drude (LD) and Tauc-Lorentz (TL) models. The ALD processes were carried out with 50, 400, and 800 cycles. The parameters of the TL models are tabulated in the Appendix, p. 181.

106

4.3 Details of the Growth in the ALD Window

8 (a)

7 6 ε1

5 4 3 2 1

200 TL

200 LD

400 TL

400 LD

800 TL

800 LD

0 1

ε2

7

2

3 4 5 Photon energy (eV)

200 TL

200 LD

6

400 TL

400 LD

5

800 TL

800 LD

6

(b)

4 3 2 1 0 1

2

3 4 5 Photon energy (eV)

6

Figure 4.33: Comparison of the dielectric function modeled for ALD films grown on 300 nm SiO2 using Lorentz-Drude (LD) and Tauc-Lorentz (TL) models. The ALD processes were carried out with 200, 400, and 800 cycles. The parameters of the TL models are tabulated in the Appendix, p. 184.

107

4 ALD Processes for Copper Oxide Growth 8

(a)

5

(b)

7

Film thickness (nm)

Film thickness (nm)

6

4 3 2 1 0

6 5 4 3 2 1 0

0

2 4 6 Precursor pulse length (s)

8

0

200 400 600 800 Number of ALD cycles

1000

Figure 7. (a) Resulting film thickness on TaN after ALD processes with 400 cycles and varying precursor pulse length. The saturating behavior indicates well-established ALD Figure 4.34: resulting film thickness the growthellipsometry on 40 nm TaN 120°C of after growth. (b)(a) Film thickness derived fromfor spectroscopic as aatfunction the400 number of ALD ALD cycles, linearprecursor growth on pulses TaN. and an oxidation pulse length cyclesindicating with varying

of 8 s. (b) ALD film thickness as a function of the number of cycles for ALD on TaN the growth on TaN under similar conditions. The data suggest linear, satu-

rated growth, pointing to a well-established ALD regime. In the Appendix,

To deposit seed layers required for electrochemical copper deposition in metallization 185,devices, the parameter sets the ellipsometry models usedbarrier to determine schemes ofp.ULSI the ALD on of refractory metal-based diffusion materialsthe film thicknesses tabulated. is of particular interest. Forare detailed characterization of the copper oxide ALD from [(nBu3P)2Cu(acac)] and wet oxygen on TaN, processes were therefore carried out at 120°C, essentially in the ALD window established previously (9). Processes of 400 in the growth on dry and length wet thermal silicon It is of known that by cycles with process varying exist precursor pulse displayed goodoxide. saturation the GPC, indicating a well-established ALD growth (Fig. 7 a). Furthermore, as seen from Fig. 7 b, wet oxidation of silicon, not as dense SiO2 films are obtained compared to dry oxidation the films grow in a linear manner with increasing number of ALD cycles. In contrast to [258, 30]. growth Takingobserved into account that forindicates growinglayer-by-layer oxides on oxidic surfaces, thep. island on SiO growth on TaN.often TheseOH 2, this findings, which areasderived fromadsorption the film thickness values obtained from spectroscopic a potentially reduced density of groups are involved precursor sites [15], ellipsometry, are supported by AFM investigations. In this respect, Fig. 8 displays images OHofgroups on the less-dense, SiO2stages compared dry-grown silica could explain the surface morphology at wet different of the to film growth. Fig. 9, showing the filmthe roughness as arate function of 300 the number of wet ALDsilica cycles, complements this investigation. reduced growth on the nm thick films. With respect to the optical Evidently, there is no clear trend in the evolution of the roughness. The initial roughness properties, thesubstrate dielectric function exhibits quantum confinement and appears of the TaN is largely reproduced by the ALD film, while behavior a slight variation of the morphology with to thethe precursor seen.isTogether the nanocrystalline AFM images blue-shifted compared one forpulse bulk length Cu2 O.isThis attributedwith to the this also suggests the two-dimensional growth on TaN. This result needs to be stressed composition of theconsidering films, so that the the results consistenttowith the typical behavior especially when the fact Cu isappear very susceptible the formation of islands and for agglomeration on nanoparticles. transition metalsNevertheless, and their nitrides. Nevertheless, found semiconductor a markedly stronger ultra-thin, blueshift of continuous copper films on such substrates are highly desirable for nanoscale the interconnect dielectric function is observed the filmsthermal grown on wetisthermal silicon oxide, structures. Because for especially ALD generally capable offor producing films in demanding three-dimensional nanostructures, a process to which a final conformal explanation remains to be found. deposit continuous, copper oxide films could be a viable basis for the formation of thin Cu seed layers.

4.3.2 ALD on TaN To deposit seed layers required for electrochemical copper deposition in metallization schemes of ULSI devices, the ALD on refractory metal-based diffusion barrier materials is of particular interest. For detailed characterization of the copper oxide ALD from

108

4.3 Details of the Growth in the ALD Window 40 nm TaN

50 cycles / 4 s

200 cycles / 4 s

z = 5 nm / div x = 100 nm / div 400 cycles / 3 s

400 cycles / 6 s

800 cycles / 4 s

Figure 8. Surface morphology of an initial 40 nm TaN film as well as after ALD processes with varying number of cycles. While the processes with 50, 200, and 800 Figure 4.35: Surface morphology of an initial 40 nm TaN film as well as after ALD cycles were carried out with precursor pulses of 4 s, the pulse length was varied between 3 and 6 s forprocesses processes with of 400varying cycles. number of cycles at 120°C. While the processes

with 50, 200, and 800 cycles were carried out with precursor pulses of 4 s, the pulse length was variedSummary between 3 and 6 s for processes of 400 cycles. Oxidation pulses of 8 s were applied.

Due to its properties, copper oxides and especially Cu2O appear interesting for a number of applications. These include its potential relevance for use in cost-effective n devicesand as wet welloxygen as a starting point for ultra-thin copper seed layers [(photovoltaic Bu3 P)2 Cu(acac)] on TaN, processes were therefore carried out to at fabricate the complex multilevel metallization scheme in ULSI devices. In the latter case, 120°C, essentially in the ALD window established previously. Processes 400 cycles films need to be deposited on materials such as TaN, a typical Cu diffusionofbarrier, and must be smooth and continuous already at thicknesses of only several nanometers. with varying precursor pulse length displayed saturating behavior of the GPC, indicating We have shown that by using [(nBu3P)2Cu(acac)] and wet oxygen as precursors in a well-established ALD growth (Fig. 4.34 a). Comparing this with the results obtained thermal ALD, continuous films with comparable roughness as the initial substrate can be atdeposited 135°C (cf. p. 89) showsasthat are not regime relevant, while onFig. TaN4.15, at temperatures lowatas120°C 120°CCVD in a effects linear growth without signs of agglomeration. Saturated was also obtained on However, 2 substrates. they become more prominent withgrowth increasing temperature asSiO established before. Furthe ALD films here exhibit island growth behavior. This is expressed both in a non-linear thermore, as seen from Fig. up 4.34 the ALD films cycles grow in linear withvariation increasing film thickness characteristic to b, ~ 200 as awell as inmode a strong of the dielectric function of the films in this range, pointing to quantum confinement effects number of ALD cycles, in contrast to the substrate-enhancement behavior observed on typically observed for nanocrystalline materials. Furthermore, a considerable variation of SiO 2. both the GPC and the optical properties was observed for films grown either on dry or In addition to the oxide. film thickness obtained spectroscopic wet thermal silicon However, results as varying opticalfrom properties with filmellipsometry, thickness in the low nanometer range can create uncertainties in modeling the ellipsometric data samples at different stages of the ALD growth process were characterized by AFM. especially with respect to the optical function, these results need to be further verified. Fig. 4.35 displays imagesindicate of the that surface afterproperties different can processes, while Nevertheless, the studies bothmorphology film growth and potentially be tuned by shows even slight of the substrate. Fig. 4.36 the variations film roughness as a function of the number of ALD cycles to

complement the investigation. Evidently, there is no clear trend in the evolution of

109

4 ALD Processes for Copper Oxide Growth 0.45

RMS roughness (nm)

3 s precursor pulse 6 s precursor pulse 0.40

0.35

2 s precursor pulse

0.30

0.25 0

200

400

600

800

1000

Number of ALD cycles

Figure 9. Evolution of surface roughness with increasing number of ALD cycles during growth 40 nm TaN. If not otherwise noted, processesnumber were carried out cycles with on Figure 4.36:on Evolution of surface roughness withthe increasing of ALD precursor pulses of 4 s.

40 nm TaN. The values of the root mean square (RMS) roughness were from theofAFM data. asIf not the processes For acalculated later application the films seedotherwise layers in noted, electroplating to formwere carried out with precursor pulses of 4 s. interconnects, the copper oxide obtained from ALD needs to be reduced. Results obtained

so far indicate this to be challenging for films grown on TaN with pure thermal reduction processes only. In contrast, good results have been obtained with low-temperature thermal reduction using organic reducing agents for copper oxide films grown on the roughness. Thein-depth initial roughness of ALD the TaN substrate largely reproduced by the ruthenium. An study of the growth, copperisoxide reduction, and an of athose films grown of onthe Rumorphology in copper electroplating will be pulse reported ALDapplication films, while slight variation with the precursor length separately. ALD film

is seen. Together with the linear film thickness characteristic, these investigations sug-

Thermal SiO Acknowledgments gest two-dimensional film growth on TaN. This result needs2 to be stressed especially

when considering the fact thattheCuGerman is veryResearch susceptible to the formation ofInternational islands and agSi substrate Financial support from Foundation (DFG) in the Researchon Training Group 1215 “Materials and Concepts for Advanced Interconnects” is glomeration transition metals and their nitrides. Nevertheless, ultra-thin, continuous gratefully acknowledged. We further thank Dr. B. Gruska (SENTECH Instruments) as

copper on Hermann such substrates desirable forfornanoscale interconnectandstrucwellfilms as S. (Center are for highly Microtechnologies) helpful discussions, R. Müller thermal and N. Zichner for Microtechnologies) for technical tures.acknowledge Because especially ALD is(Center generally capable of producing conformal assistance.

References ALD film 1. K. Weiss, S. Riedel, S. E. Schulz, M. Schwerd, TaN H. Helneder, H. Wendt, and T. Gessner, Microelectron. Eng., 50, 433 (2000). Native SiO2 2. ITRS – The International Technology Roadmap for Semiconductors, 2007 Ed., European Semiconductor Industry Association, Japan Electronics and Information Si substrate Technology Industries Association, Korean Semiconductor Industry Association, Taiwan Semiconductor Industry Association, and United States Semiconductor Industry Association, Editors, available at http://www.itrs.net/reports.html (2007). 3. C. Wadia, A. P. Alivisatos, and D. M. Kammen, Environ. Sci. Technol., 43, 2072 Figure 4.37:(2009). Film model used to analyze ellipsometric data from ALD films grown on

TaN. The optical properties of the Si substrate, the native SiO2 , and the TaN films were modeled as described in the Appendix B.3. ALD film

110

Ru

4.3 Details of the Growth in the ALD Window films in demanding three-dimensional nanostructures, a process to deposit continuous, copper oxide films could be a viable basis for the formation of thin Cu seed layers. Regarding the ellipsometric characterization of the ALD films, a film stack model according to Fig. 4.37 was applied. To separate the contribution of the ALD films to the ellipsometric spectra from the behavior of the substrates, all TaN coated wafers underwent SE characterization and modeling before the ALD. A representative LorentzDrude model for the TaN film is provided in the Appendix B.3. Like on SiO2 , the ALD films were characterized as continuous layers, neglecting roughness effects, whereby their dielectric function was parameterized by Lorentz-Drude models, similar to the ones used for the films on SiO2 . Because the thickness of the ALD films had been verified by cross-sectional TEM preparation on several samples (cf. Fig 4.13, p. 87 and Fig. 4.14, p. 88), an additional data analysis by alternative models to confirm the film thickness was not carried out.

4.3.3 ALD on Ru In comparison to the SiO2 and TaN substrates, the 100 nm evaporated Ru underlayers exhibited an up to one order of magnitude higher initial surface roughness. When

RMS roughness (nm)

2.0

1.8 3 s precursor pulse 1.6

6 s precursor pulse

1.4

1.2

1.0 0

200

400

600

800

1000

Number of ALD cycles

Figure 4.38: Evolution of surface roughness with increasing number of ALD cycles on 100 nm Ru at 120°C. The values of the root mean square (RMS) roughness were calculated from AFM data. If not otherwise noted, the processes were carried out with precursor pulses of 4 s and 8 s H2 O/O2 oxidation pulses.

111

4 ALD Processes for Copper Oxide Growth

Film thickn ness (nm)

12

( ) (a)

10 8 6 4 2 0 0

100 nm Ru

(b)

2 4 6 8 Precursor pulse length (s)

400 cycles / 3 s

10

400 cycles / 6 s

z = 25 nm / div x = 100 nm / div

Figure 4.39: Resulting film thickness after 400 ALD cycles on Ru with varying precursor pulse length and 8 s oxidation pulses at 120°C. As seen from the graph in (a), no complete saturation of the film growth was obtained, leading to a variation in surface morphology depending on the length of the precursor pulse (b).

subjected to copper oxide ALD processes at 120°C, AFM analyses revealed that the surface morphology of the Ru was not reproduced. Rather, the surfaces became smoother with increasing number of ALD cycles, clearly shown by the roughness characteristic in Fig. 4.38. Furthermore, although carried out in the ALD window, the film growth did not exhibit complete saturation. This is expressed both in the characteristic of the film thickness as a function of precursor pulse length, shown in Fig. 4.39 a, as well as in the varying surface morphology after 400 ALD cycles carried out with precursor pulses of 3 or 6 s (Fig. 4.39 b). For determining the thickness of the ALD films by ellipsometry, rather simple film

112

4.3 Details of the Growth in the ALD Window ALD film Ru

Figure 4.40: Film model used to analyze ellipsometric data from ALD films grown on Ru. Due to the thickness of the metallic Ru underlayers, they could be treated as quasi-bulk material so that neither the Si substrate nor the Ti adhesion layers needed to be taken into account in the models. Nevertheless, analysing the Ru films showed anisotropies due to their columnar microstructure as well as differences in the dielectric function due to heat treatment (cf. Appendix B.3.4).

stack models could be applied due to the quasi-bulk behavior of the thick Ru underlayers. As depicted by Fig. 4.40, neither the Si substrate nor the Ti adhesion layer needed to be taken into account. Nevertheless, the ellipsometric characterization of the samples proved difficult. Initially, the investigations were carried out using SE data obtained for incidence angles of 50, 60, and 70° in order to generate reliable models for the ALD films. For the Ru films, models were generated after ellipsometric characterization before the ALD as outlined in the Appendix B.3.4. The resulting film thickness characteristic for increasing number of ALD cycles, as determined by SE, is presented in Fig. 4.41 a. The graph suggests linear growth up to ∼ 200 cycles of the processes, while afterwards the curve becomes more flat, pointing to a decrease in GPC before again taking a nearly linear shape. Comparing this with the characteristics obtained on TaN and SiO2 as well as with the theoretical curves typical for linear growth, substrate enhancement, or substrate inhibition does not lead to an unambiguous answer regarding the type of film growth on Ru. Moreover, when analyzing several samples after 400 or 800 cycles by TEM, ALD films of thicknesses between 8 and 18 nm as determined by SE could repeatedly not be imaged. Results of a typical sample are shown in Fig. 4.41 b. As can be seen, the Ru film having a columnar microstructure as well as the Ti adhesion layer are clearly visible, whereas an ALD film does not seem to be present on the Ru. This is contradictory to results of SEM analyses (Fig. 4.42), where the surface of partially etched ALD films could clearly be distinguished from the underlying Ru substrate, suggesting that film growth took place. Also by XPS the presence of copper in oxidic form was confirmed, giving rise to even more questions with respect to the TEM results.

113

4 ALD Processes for Copper Oxide Growth

Film thickness (nm)

20

(b)

(a)

Si

16 12

Ru

Ti

8 4

glue

0 0

200 400 600 800 Number of ALD cycles

1000 50 nm

50 nm

Figure 4.41: (a) film thickness characteristic with increasing number of ALD cycles for deposition on Ru at 120°C with 4 s precursor and 8 s H2 O/O2 oxidation pulses. The data were obtained from spectroscopic ellipsometry analysis at three angles of incidence with models for the Ru substrates obtained before the ALD. Image (b) displays a cross-sectional TEM micrograph of a nominally 18 nm thick ALD film. While the columnar microstructure of the Ru as well as the Ti adhesion layer are clearly seen, the ALD film is not visible.

Ru

ALD film

500 nm

2 µm

Figure 4.42: Plan-view SEM micrograph after ALD on Ru at 120°C applying 4 s precursor and 8 s oxidation pulses. The ALD film was partially wet-etched. The contrast obtained between the Ru underlayer and the ALD film suggests that deposition took place. The inset displays the Ru surface in higher magnification.

114

4.3 Details of the Growth in the ALD Window

glue

Cu2O latttice planes

Ru

5 nm

5 nm

Figure 4.43: High-resolution cross-sectional TEM micrograph of an ALD film grown on Ru after 800 ALD cycles at 120°C with 4 s precursor and 8 s oxidation pulses. In the left image, lattice planes of Cu2 O were identified at the surface of the Ru film. After a Fourier transform of the original image on the left, signals for both Ru and Cu2 O are obtained. When applying an inverse Fourier transform to the Cu2 O signals only, the image shown on the right is obtained. The partial image for Cu2 O is colored in red and overlaid the original micrograph, showing that Cu2 O is present not only at the Ru surface, but also in between the columnar structure of the Ru film.

Therefore, high-resolution TEM investigations were carried out. In fact, those revealed areas atop the Ru underlayers with lattice planes to be assigned to Cu2 O as shown in Fig. 4.43, suggesting Cu2 O to be present in between the top parts of the Ru columns, but evidently with a much smaller thickness compared to the values obtained from SE. For a deeper investigation, some images were Fourier transformed, giving signals for Ru as well as Cu2 O. After an inverse Fourier transform of only the copper oxide reflexes, these partial images were red-colored and overlaid the original TEM micrographs. The combined images, an example being shown in Fig. 4.43, indicate that Cu2 O areas are not only present on top of the Ru films, but also together with and deep in the Ru film, suggesting intermixing of the Cu2 O with the Ru substrates during the ALD. In light of these findings, the ellipsometry data were critically re-analyzed. When extracting the dielectric function of the ALD films on Ru after processing SE data at three angles of incidence, shown in Fig. 4.44, it is revealed that the optical properties to a large extent resemble the properties of the Ru underlayers especially with respect to ε2 . This suggests the formation of composites with large amounts of Ru rather than distinct copper oxide films that are well separated from the underlayers. Furthermore, control experiments during which Ru samples were subjected to an Ar heat treatment

115

4 ALD Processes for Copper Oxide Growth

Photon energy (eV) 0 -1

1

(a)

2

3

4

5

6

ε1

-2 -3 -4 -5 -6 20

(b)

ε2

16 12

50 200 600 Ru h.t. 70°

100 400 800

50 200 600 Ru h.t. 70°

100 400 800

8 4 0 1

2

3 4 Photon energy (eV)

5

6

Figure 4.44: Complex dielectric function of ALD films grown on Ru with 50 to 800 cycles analyzed at three angles of incidence. For comparison, the properties of heat treated (h.t.) Ru measured at an incidence angle of 70° are also shown. The parameter sets of the ellipsometry models related to the spectra are tabulated in the Appendix, p. 187.

116

ε1

4.3 Details of the Growth in the ALD Window

1.8 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0

50 200 600

(a)

1

2

3 4 Photon energy (eV)

1.2 (b) 1.0

100 400 800

5

6

50 200 600

100 400 800

5

6

ε2

0.8 0.6 0.4 0.2 0.0 1

2

3 4 Photon energy (eV)

Figure 4.45: Complex dielectric function of ALD films grown on Ru with 50 to 800 cycles analyzed at 70° incidence angle. Modified substrate models were applied to account for variations in the dielectric properties of the Ru films due to heating during the ALD process. The parameter sets of the ellipsometry models related to the spectra are tabulated in the Appendix, p. 189.

117

4 ALD Processes for Copper Oxide Growth at similar temperatures as during the ALD showed that the dielectric properties of the Ru substrates change, which could be another reason for the ruthenium-like dielectric function of the ALD films. Hence, substrate models derived from as-deposited Ru films before the ALD cannot be regarded suitable for analyzing the ALD film stacks. Therefore, the models of the Ru substrates were adapted as outlined in the Appendix B.3.4, taking into account the influences of the ALD processes. Furthermore, the detailed analyses of the Ru substrates also revealed that, depending on the angle of incidence, variations in the optical properties of the Ru appear. Apparently, the substrates behave anisotropically, most probably because of their columnar microstructure. Another influence could also stem from the roughness of the Ru films, which was not explicitly considered in order to limit the number of free parameters in the ellipsometric models. Therefore, the processing of the SE data was restricted to only one angle of incidence, typically 70°. However, with this precondition the ALD film models cannot be expected to be as reliable as after analyzing data of three different incidence angles. Nevertheless, the data were examined by using Lorentz-Drude models for the ALD films obtained on SiO2 substrates as a starting point, subsequently reducing the number of oscillators to reduce correlation among the parameters. Evidently, the dielectric functions then become largely different from the ones obtained after the initial SE analysis as shown in Fig. 4.45. Furthermore, the film thickness as a function of the number of ALD cycles, presented in Fig. 4.46, now behaves completely different and quite untypical. While a linear increase is again obtained up to 200 cycles, the thickness then saturates and even seems to decrease somewhat. Together with the TEM investigations, this result seems to represent the actual situation more clearly. In fact, the film thickness of 3.9 nm calculated for a sample after 800 cycles coincides with the Cu2 O areas on the Ru, imaged by the high-resolution TEM investigations (Fig. 4.43). For further clarification, XPS depth profiles were recorded on the same samples as investigated by TEM. The analysis shown in Fig. 4.47 reveals that the copper oxide indeed intermixes with the Ru substrates, indicated by an early increase of the Ru signal and a significant Cu signal still present after prolonged Ar sputtering. In contrast, TaN samples subjected to similar ALD processes as the Ru wafers show copper oxide films at the surface and a significantly smaller Cu signal once the signals of the underlying TaN barriers appear. A respective depth profile has been discussed above in Fig. 4.23 (p. 97). It therefore becomes evident that during the ALD the Ru substrates undergo

118

4.3 Details of the Growth in the ALD Window

Film thickness (nm)

20 16 12 8 4 0 0

200 400 600 800 Number of ALD cycles

1000

Figure 4.46: Film thickness on RuProbe for ALD at 120°C with increasing number of cycles Ru03/02 derived from ellipsometry using adapted substrate models as well as models for the ALD films on SiO2 as starting point for the fit. Data for only one incidence angle (70°) were analyzed. After an initial linear growth, the film thickness seems to saturate and even decreases somewhat towards a larger number of cycles.

90 Concentration (at (at-%)

Atomkonzentration (At. (At.-%)

100 80 70

C

60 50

O P Cl

40

Cu Ru

30 20 10 0 0

5

10

15

Sputter time (min) Sputterzeit (min)

Figure 4.47: XPS depth profile after 800 ALD cycles on Ru at 120°C. The analysis shows a strong intermixing of the copper oxide with the Ru substrate. This is in clear contrast to similar films grown on TaN as depicted in Fig. 4.23 (p. 97). Apart from this, traces of Cl and P are detected, pointing to some impurities from the precursor.

119

4 ALD Processes for Copper Oxide Growth strong intermixing with the ALD copper oxide. These results appear especially relevant with respect to the current discussion around Ru as a potential material for advanced Cu diffusion barrier systems, where Ru is discussed both as part of barrier films as well as an adhesion layer for copper [172, 259]. However, investigations by Arunagiri et al. [260] suggest that ultra-thin Ru films could help mitigate the intermixing, because they lack the columnar microstructure that is typical for thicker films. On the other hand, plating experiments described in Sec. 5.2 give rise to the assumption that the intermixing effects could also positively affect the growth of electroplated Cu on Ru seeded with ALD Cu.

120

5 Seed Layers for the Electrochemical Deposition of Copper With ALD processes to grow copper oxide on a variety of substrates developed, the initial goal of depositing metallic copper films needs to be recalled. According to the chosen pathway outlined in Fig. 2.9 (p. 55), a suitable reduction process has to follow the ALD in order to reduce the oxidic films. Thereby, the integrity of the ALD films, especially their conformality and continuity, must be maintained for a later application as seed layers for the electrochemical copper deposition (ECD) in the fabrication sequence of metallization systems.

5.1 Methods and Investigations to Reduce Copper Oxide Films 5.1.1 Molecular Hydrogen and Hydrogen Plasmas The reduction of CuO to Cu2 O and further Cu2 O to Cu has been the subject of many studies both in recent years as well as decades ago [213, 261–269]. Among those, annealing copper oxides in H2 atmosphere has been reported to be a suitable method. Also from a thermodynamic point of view, as calculated in Appendix C.2 (p. 196), the reactions Cu2 O (s) + H2 (g) → 2 Cu (s) + H2 O (g)

(5.1)

CuO (s) + H2 (g) → Cu (s) + H2 O (g)

(5.2)

and

are favored. CuO, with a molar enthalpy of formation of H = −157.3 kJ/mol [142, p. 1038] is reduced to Cu2 O (H = −168.6 kJ/mol [142, p. 1038]) by molecular hydrogen already at temperatures of 150°C or less [264]. In contrast, for a further conversion from Cu2 O to metallic Cu, higher temperatures are required, typically at least 300°C [262, 266]. As reported by Kirsch and Ekerdt [267], complete reduction of Cu2 O to

121

5 Seed Layers for the Electrochemical Deposition of Copper the metallic state is much more likely in presence of reactive species such as hydrogen radicals. One might assume atomic hydrogen to form once a fraction of the oxide is reduced due to the dissociative adsorption of H2 on Cu [270, 271]. Since this is an activated process [272], elevated temperatures are required for an effective reduction. Apart from this, it has to be noted that the characteristics of the dissociative adsorption also depend on the method by which the Cu surface has been prepared as well as on its crystal orientation [273, 274]. While most of the basic studies cited were conducted with bulk or powder samples, treating very thin films requires special attention with respect to the strong tendency of agglomeration and island formation of copper. In particular, this is the case on oxides such as SiO2 [194] or transition metals and nitrides thereof, such as TiN or TaN [193, 195, 196, 275]. For these processes being thermally activated [194, 196], the reduction must be conducted at lowest possible temperatures in order to maintain continuous films. In the course of the current investigations, a series of experiments with ALD films grown on Ta was conducted. The samples cut from a 100 mm wafer had a copper oxide film grown at 135°C of ∼ 15 nm ellipsometric thickness together with clusters due to CVD-like self-decomposition of the precursor (cf. Fig. 4.6, p. 76). The pieces were subjected to annealing processes in H2 atmosphere using a Centrotherm 1200 tube furnace. During the processes, hydrogen was flown at a rate of 10 slm at atmospheric pressure. The annealing experiments were carried out between 200 and 450°C for 30 min. Afterwards, the effectiveness of the reduction was examined by four-point probe sheet resistance measurements as well as EDX analyses, presuming that an effective reduction should be measurable by a lowering of the oxygen content of the samples along with a decrease in sheet resistance. SEM analyses were carried out to monitor any changes in film morphology. The normalized EDX signal for oxygen (X-ray energy 0.532 keV) plotted in Fig. 5.1 a suggests only a slight reduction of the oxygen content with increasing annealing temperature. A steep increase is even visible at 400°C. When considering the surface morphology of the samples (Fig. 5.2) it becomes obvious that strong agglomeration of the films took place. This could have led to the enhanced oxygen content probed by EDX at elevated temperatures, due to the following reason: The Ta substrate, which is likely to contain a surface oxide because of storage in air before the ALD and eventually also due to the oxidizing ALD environment, becomes “visible” to the EDX because of the

122

40

7.0 ALD 6.5

Ta/TaN ALD Reduction

35

Reduction RS (Ω Ω / )

Normalized X-Ray Intensity

5.1 Methods and Investigations to Reduce Copper Oxide Films

6.0 5.5

30 25 20

5.0 (a)

(b) 15

4.5 100

200

300

0

400

100

Temperature (°C)

200

300

400

500

Temperature (°C)

Figure 5.1: (a) Normalized X-ray intensity at 0.532 keV (O peak) recorded by EDX (excitation energy: 3 keV) for samples after thermal reduction in H2 and immediately after the ALD growth of copper oxide on Ta/TaN underlayers. (b) Sheet resistance RS of Ta/TaN substrates prior to and immediately after copper oxide ALD, as well as after H2 reduction between 200 and 450°C. Results of Reduction with H2, 30 min, tube furnace (Centrotherm) (a)

200 nm

(b)

Ta surface

ALD film

(c)

(d)

(a) ALD film

(b) H2, 200°C

(c) H2, 300°C

(d) H2, 400°C

Figure 5.2: Top-view SEM(e)images of ALD films after thermal reduction in H2 at (b) (f) 200°C, (c) 300°C, and (d) 400°C. Strong agglomeration, especially at elevated temperatures, is visible. In contrast, the ALD film (a) is continuous with additional clusters due to CVD effects. In image (a), the film has been partially etched to make the Ta substrate visible. (The scale bar applies to all micrographs.)

123

5 Seed Layers for the Electrochemical Deposition of Copper agglomeration of the ALD film. As a consequence, a stronger signal intensity results from the oxidized Ta rather than from the copper film above. This is also supported by the sheet resistance graph shown in Fig. 5.1 b. Only at 200°C, a slight decrease in RS compared to the result after the ALD was measured, while the values deteriorate with increasing annealing temperature. Although this is a typical behavior of agglomerating films [275], one should expect the RS to remain at the value measured for uncoated Ta/TaN. Considering that H2 O is formed upon reduction of CuO or Cu2 O with H or H2 , the increase in the sheet resistance could also be due to oxidation of the Ta by the water vapor formed during reduction of the copper oxide, also contributing to the enhanced O signal in the EDX analyses at elevated processing temperatures. In this context, the thermodynamic calculations outlined in Appendix C.3 (p. 197) indeed predict that the reaction Ta2 O5 (s) + 5 H2 (g) → 2 Ta (s) + 5 H2 O (g)

(5.3)

is unfavored due to a positive Gibbs free energy, meaning that the back reaction, i. e., the oxidation of Ta by H2 O, is more likely. Therefore, the Ta barrier underneath the ALD film could have been oxidized at the same time the copper oxide was reduced. In order to lower the processing temperature and to reduce the tendency of agglomeration, yet guarantee a sufficient number of reactive species in the gas phase, similar samples of copper oxide films on Ta were subjected to H plasma treatments. For the experiments, a tungsten etch-back chamber available at an Applied Materials Precision 5000 equipment was used. The samples were kept at room temperature during the processes, but they were not explicitly cooled so that a minor increase of temperature could have taken place during the plasma treatment. As the reactive gas, H2 was flown at a rate of 100 sccm. The process pressure was kept at 0.13 mbar (0.1 torr), and the direct RF plasma was driven at a power of 120 W. The results of these plasma treatments are not unambiguous. Although a decrease of the oxygen content is visible from the EDX spectra in Fig. 5.3, which could be interpreted as a sign of effective reduction, the sheet resistance of the samples plotted in Fig. 5.4 a increased up to a plasma treatment time of 300 s. At the same time, when considering the further signals in the spectra, the Cu content seems to decrease while the Ta content becomes enhanced with prolonged H plasma exposure. Taking into account the SEM investigations of the samples before (Fig. 5.4 b) and after the reduction process (Fig. 5.4 c and d), the reason for these effects becomes clear: Although the pro-

124

5.1 Methods and Investigations to Reduce Copper Oxide Films normalized spectra, 3 keV excitation energy:

Normalized Intensity

25

Ta

20

ALD film 40 s H plasma 75 s H plasma 150 s H plasma 300 s H plasma 600 s H plasma

Cu

15 10

O

Ta

5 0 0.0

0.5

1.0

1.5

2.0

2.5

3.0

X-ray energy (keV)

Figure 5.3: Normalized EDX spectra of copper oxide films on Ta/TaN determined after reduction in H plasma for different processing times. The samples subjected to the plasma treatments were cut from the same wafer, whose composition immediately after the ALD is also shown. The energy of the primary electron beam used for X-ray excitation was 3 keV. 40

(a)

RS (Ω Ω / )

35

Ta/TaN ALD Reduction

(b)

30 25 20 15 0

100 200 300 400 500 600 700 200 nm

Plasma treatment time (s)

(c)

(d)

200 nm

200 nm

Figure 5.4: Sheet resistance RS (a) of Ta/TaN substrates prior to and immediately after copper oxide ALD, as well as after H plasma reduction between 40 and 600 s treatment time. The top-view SEM images show the ALD film as grown on Ta/TaN (b) as well as after 150 s (c) and 300 s (d) H plasma exposure.

125

5 Seed Layers for the Electrochemical Deposition of Copper cessing temperature was considerably lower during the plasma processes compared to the thermal H2 treatments, the ALD films again showed signs of agglomeration with increasing plasma treatment time. Thus, the differences in the Cu and Ta signals of the EDX spectra also become explainable, because agglomerated films give rise to larger signal intensity from the substrate compared to the uppermost film. Agglomeration could also contribute to the increase in sheet resistance. On the other hand, the RS value significantly decreased for a plasma exposure time of 600 s. Together with only a minor Cu content left, but an enhanced Ta signal seen in the EDX, this can be explained by a reduction of an existing Ta oxide towards metallic Ta due to H plasma treatment, since the reaction Ta2 O5 (s) + 10 H (g) → 2 Ta (s) + 5 H2 O (g)

(5.4)

is thermodynamically favored due to a strongly negative change in the Gibbs free energy (cf. Appendix C.3, p. 197). In conclusion, thermal reduction of the oxidic ALD films on Ta in an H2 atmosphere cannot be regarded a suitable method, due to the agglomeration of the films provoked by the high annealing temperatures required. In contrast, an decrease of the oxygen content was obtained already at room temperature by a hydrogen treatment in a direct RF hydrogen plasma. Nevertheless, agglomeration tendencies and the fact that direct H plasmas strongly deplete in nanometer-sized structures, potentially giving rise to incomplete reduction when depositing ALD copper oxide for seed layer applications in high-aspect-ratio features, render this process equally inappropriate for post-treating the ALD films to obtain metallic copper.

5.1.2 Organic Reducing Agents To overcome the drawbacks of purely hydrogen-based reduction methods, attention was directed to other reducing agents, especially organic compounds. Several authors have reported that copper oxide thin films are effectively reduced by alcohols, aldehydes, organic acids, or ketones [208, 213, 267, 268]. Soininen et al. [213], for example, reduced 400 nm thick copper oxide films with methanol, ethanol, isopropanol (IPA), and formic acid at 300 to 380°C. Kim et al. [208] showed that CVD-grown copper oxide could effectively be reduced already at 100°C by formic acid, especially on Ru. Thermodynamics also predicts that the reduction of tantalum oxide eventually present at the inter-

126

5.1 Methods and Investigations to Reduce Copper Oxide Films face to the ALD film can be reduced by isopropanol, while its reduction with ethanol or formic acid appears unfavored (cf. Appendix C.3, p. 197). Nevertheless, taking into account own experiences with IPA and especially formic acid used as reducing agents during the CVD of copper films from novel ketoiminate precursors [276], investigations were undertaken with these two reactants. The experiments with a typical processing pressure of 1.3 mbar (1 torr) were carried out in the ALD equipment either in-situ immediately after the copper oxide was grown, or as a separate step using samples previously exposed to ambient air. The reducing agents were vaporized using either the second liquid delivery system or the bubbler installed at the ALD reactor. With the LDS, both reactants were typically vaporized at a temperature of 65°C and a flow rate between 60 and 80 mg/min, while 100 sccm Ar were flown as the carrier gas. The overall reactions from Cu2 O and CuO to metallic copper with IPA and formic acid can be established as follows:

Cu2 O (s) + (CH3 )2 CHOH (g) → 2 Cu (s) + H2 O (g) + (CH3 )2 CO (g)

(5.5)

CuO (s) + (CH3 )2 CHOH (g) → Cu (s) + H2 O (g) + (CH3 )2 CO (g)

(5.6)

Cu2 O (s) + HCOOH (g) → 2 Cu (s) + H2 O (g) + CO2 (g)

(5.7)

CuO (s) + HCOOH (g) → Cu (s) + H2 O (g) + CO2 (g)

(5.8)

Both approaches appeared effective for the reduction of the ALD films. For the experiments, copper oxide films of ∼ 15 nm thickness on Ta/TaN and ∼ 8 nm thickness on TaN were chosen. Plotting the normalized oxygen signal probed by EDX after reduction processes of 20 min (Fig. 5.5) shows that when using IPA, a temperature of 200°C is required while a significant reduction is obtained already at 115°C with formic acid for films on Ta/TaN as well as on TaN. This is particularly remarkable as the reactions with IPA are characterized by a much stronger negative change of the Gibbs free energy compared to the ones with formic acid (cf. Appendix C.2, p. 196). However, the different behavior of the reactions could also be caused by influences of reaction kinetics, which is not taken into account by the thermodynamic calculations. The EDX data also show that a considerable shift exists in the oxygen signal measured for films grown on

127

5 Seed Layers for the Electrochemical Deposition of Copper

Normalized X-Ray Intensity

6.5 6.0 5.5 ALD on Ta/TaN + Isopropanol

5.0

ALD on Ta/TaN + Formic Acid

4.5

ALD on TaN + Formic Acid

4.0 3.5 3.0 0

100

200

300

Temperature (°C)

Figure 5.5: Normalized X-ray intensity at 0.532 keV (O peak) recorded by EDX (excitation energy: 3 keV) for copper oxide films grown on Ta/TaN and TaN after thermal reduction with IPA and formic acid. The data points at 25°C represent the status after the ALD without any reduction treatment.

Ta/TaN and on TaN. On the one hand, this may result from the larger film thickness on Ta due to the higher GPC. On the other hand, it is likely that the Ta underlayers are stronger oxidized during air exposure and potentially during the oxidizing ALD process compared to the TaN barriers. Considering the morphology of the ALD films on Ta/TaN and TaN before and after reduction, however, leads to a more differentiated view of the two approaches: As shown in Fig. 5.6, the lowest temperature of 200°C, where the IPA process was effective, again provoked agglomeration of the ALD films on Ta. In contrast, at 115°C, where reduction was already obtained with formic acid, signs of agglomeration are neither seen on Ta/TaN nor on TaN. Here it needs to be noted that the ALD film on TaN used for these studies was grown at 155°C. Therefore, the continuous film also contains some clusters due to beginning CVD effects at this ALD temperature, making the sample somewhat comparable to the ALD films on Ta where cluster formation in parallel to the growth of continuous films could not be suppressed. These results also confirm that, especially for the ultra-thin ALD films, the reduction temperature must be kept as low as possible. Although at larger film thickness acceptable results can most probably be achieved also with reactants such as isopropanol, processes involving formic acid at temperatures up to 115°C, i. e., essentially at the tem-

128

5.1 Methods and Investigations to Reduce Copper Oxide Films

(a)

Results of Reduction with IPA and Formic on Ta and TaN (a)

(b)

200 nm (c)

(d)

(e)

(f)

(b) H2, 400°and C after reducFigure 5.6: Top-view (a) SEM images of ALD films on Ta and TaN before tion with IPA (c) and formic acid. While the film on Ta (a) (d) showed agglomeration after treatment in IPA at 200°C (b), no such effect was observed for similar copper oxide films (c) after treatment with formic acid at 115°C (d), nor for a sample on TaN (e) after the same formic acid exposure (f). Due to CVD effects beginning at the ALD temperature of 155°C, the film grown on TaN also exhibits the formation of clusters on top of a continuous Cu2 O film. (The scale bar in image (a) applies to all micrographs.)

129

5 Seed Layers for the Electrochemical Deposition of Copper Cu2p3/2

1

(a)

Cu(II)

0.8

Cu(I), Cu(0)

0.7 0.6 0.5 0.4

Cu(II)

0.3 0.2 0.1 0 950

0.9 Normalized Intensity

Normalized Intensity

0.9

Cu2p3/2

1

(b)

Cu(II)

0.8

Cu(I), Cu(0)

0.7 0.6 0.5

Cu(II)

0.4 0.3 0.2 0.1

945

940

935

930

Binding Energy (eV)

0 950

945 940 935 930 Binding Energy (eV)

ALD on Ta + 14 weeks in air

ALD on TaN + 6 weeks in air

ALD on Ta + 97 weeks in air

ALD on TaN + 72 weeks in air

Reduction formic acid 115°C + 6 weeks in air

Reduction formic acid 115°C + 6 weeks in air

Reduction formic acid 115°C + 25 weeks in air

Reduction formic acid 115°C + 25 weeks in air

Figure 5.7: XPS analysis of ALD Cu2 O grown on Ta (a) and TaN (b) after storage in air before and after reduction with formic acid. It is seen that slowly the Cu(I) state present after the ALD changes to Cu(II) upon exposure to air, while it is restored upon reduction, to give Cu(I) and potentially Cu(0).

perature of the ALD process, appeared more promising. Therefore, this approach was studied in greater detail using XPS, as shown by the Cu2p3/2 spectra in Fig. 5.7. In particular, ALD films on Ta/TaN and Ta stored in air for elongated periods of time were subjected to formic acid treatments at ∼ 115°C. The samples were XPS-analyzed four times: after the ALD, after long-time storage of the ALD film in air, after reduction, and again after storing the reduced samples in air for longer time. In this way, the stability of the films and evolution of their composition with time could be studied. The spectra obtained from unreduced samples show that over time the chemical state of the copper completely changes to Cu(II). Treating the samples with formic acid clearly shows an enhancement of the signal at ∼ 932 eV, indicating the reduction of Cu(II) oxide to the Cu(I) or Cu(0) state. Storage in air after the reduction slowly restores the Cu(II) state, suggesting that, despite the low thickness of only several nanometers, the films are chemically rather stable. Nevertheless, it needs to be noted that for these XPS investigations only the binding energy spectra of the Cu2p3/2 core level, but not of the Cu LMM Auger transition were recorded. As a consequence, it is not possible from the

130

5.1 Methods and Investigations to Reduce Copper Oxide Films signal at ∼ 932 eV to judge whether Cu(I) or Cu(0) is present. To further elucidate the question as to whether the Cu(0) state is obtained after reduction, copper oxide films were grown at 120°C on TaN and Ru during 600 cycles, giving a copper oxide thickness of 5.2 nm on TaN and ∼ 12 nm (nominally) on Ru. The wafers were cut to keep samples having the status after the ALD, while other pieces were subjected to formic acid treatments at 115°C / 20 min. Afterwards, both kinds of samples were analyzed by XPS. Fig. 5.8 displays Cu 2p and Cu LMM spectra of copper oxide films on Ru directly after ALD as well as after subsequent formic acid treatment. It is clearly seen from the Cu 2p3/2 data between 930 and 945 eV [248, 249] as well as from the change in the Cu 2p1/2 peak at 952 to 954 eV [277] that the Cu(II) contributions present after ALD are largely removed during the reduction. Furthermore, the Cu LMM spectrum reveals the formation of metallic Cu [248–250]. Nevertheless, some Cu(I) oxide is still present after the formic acid process. This may either be due to incomplete reduction or is caused by a certain degree of re-oxidation, because the sample was stored in air for about one day before the XPS analysis. The effectiveness of the process is also revealed by sheet resistance measurements: While the RS values of the as-deposited Ru wafers typically are in the range of 9 to 10 Ω/, the samples showed a decrease in sheet resistance between 26 and 42 % after 600 ALD cycles at 120°C and an additional formic acid treatment at 115°C / 20 min. Resitivity reduction of the Ru layer, which could be due to annealing effects and hence overlay the results, were ruled out by control experiments. Annealing similar Ru samples at comparable conditions in the ALD reactor for similar durations as the ALD processes did not exhibit a significant reduction in sheet resistance. Therefore, the decrease in RS can be attributed to the formation of metallic Cu as result of the ALD and the reduction process. However, because of the strong intermixing of the copper oxide with the ruthenium substrates it is not useful to calculate the specific resistivity of the ALD films. In contrast to Ru, the results obtained for ALD films on TaN were not as distinct. Although reducing activity of formic acid treatments on aged samples had been proven, a clear removal of copper(I) and even copper(II) oxides toward Cu(0) could not be shown when using the fresh samples. The XPS spectra shown in Fig. 5.9 reveal that also after the reduction process strong Cu(II) signals are present, although the peaks to be at-

131

5 Seed Layers for the Electrochemical Deposition of Copper

Cu 2p

x 104 2.3 CuO

2.2

Cu, Cu2O

2.1

CuO, Cu(OH)2

2

c/s (a.u.)

Cu, Cu2O

CuO

Ru03/02: nach ALD, 45° TOA

1.9 1.8

after ALD Cu(OH)2

1.7 after reduction 1.6

Ru03/02 A: nach Red, 45° TOA

1.5 1.4 970

965

960

955

950

945

940

935

930

925

Binding Energy (eV) Cu LMM CuO

1.8 after ALD

Normalized Intensity

1.6

Cu

1.4 1.2

Cu2O

1

Cu(OH)2

0.8 0.6 0.4 0.2

after reduction

0 580

575

570

565

560

555

Binding Energy (eV)

Figure 5.8: Cu 2p and Cu LMM spectra of copper oxide films grown on Ru after ALD as well as after subsequent formic acid treatment.

132

5.1 Methods and Investigations to Reduce Copper Oxide Films

x 104 2.6

Cu 2p

2.4

CuO

Cu, Cu2O

Cu, Cu2O

CuO

c/s (a.u.)

2.2 CuO, Cu(OH)2

2 1.8

after ALD Cu(OH)2

CuO

Probe 48/02/22 F Oberfläche 45° -Abnahmewinkel (TOA)

CuO, Cu(OH)2

1.6 1.4 after reduction 1.2 970

965

960

955

950

945

940

935

930

925

Probe 48/02/22 F1 Oberfläche 45° -Abnahmewinkel (TOA)

Binding Energy (eV) Cu LMM 1.8

CuO

Normalized Intensity

1.6 1.4

Cu after ALD

1.2 1 0.8

after reduction

0.6 0.4 0.2

Cu2O

0

Cu(OH)2 580

575

570

565

560

555

Binding Energy (eV)

Figure 5.9: Cu 2p and Cu LMM spectra of copper oxide films grown on TaN after ALD as well as after subsequent formic acid treatment.

133

5 Seed Layers for the Electrochemical Deposition of Copper 10000

Resistivity (µΩ µΩ µΩcm)

Evaporated Cu on silica (Liu et al.) ALD Cu on TaN

1000

100

10

bulk

1 0

10

20

30

40

50

60

Cu film thickness (nm)

Figure 5.10: Resistivity of ALD Cu films on TaN after formic acid reduction compared to data reported by Liu et al. [278] for evaporated Cu films on silica. The bulk value of the specific resistivity of copper (1.7 µΩcm) is given as a gray line.

tributed to Cu(I) and Cu(0) also increase. Most likely, some of the Cu(II) was reduced to Cu(I) as already obtained for the aged films. From the the Cu LMM spectrum a slight shoulder indicating the formation of Cu(0) can also be seen, while the main peak is shifted to higher binding energy, which is not explainable with any copper compounds. A possible formation of metallic, hence conductive, copper is also supported by a minor, but measurable decrease in the sheet resistance after the reduction. Since from XPS depth profiles intermixing of the ALD films with the TaN barrier was not observed (cf. Fig. 4.23, p. 97), the specific resistivity of the films after formic acid treatment was calculated. Plotting the data together with resistivity values reported by Liu et al. [278] for evaporated Cu on silica as shown in Fig. 5.10 reveals that although the resistivity of the ALD films is between 500 and 1800 µΩcm, the values appear reasonable when compared to the evaporated films, given their low thickness. On the other hand, one also has to take into account that the films were by far not completely reduced, or at least re-oxidized due to air exposure. To further analyze these results, the question why the formic acid treatment appears substantially more effective on Ru than on TaN substrates needs to be discussed. As

134

5.2 Electrochemical Copper Deposition on ALD Seed Layers mentioned above, the films on Ru and TaN were prepared in similar ALD processes, leading to a copper oxide thickness on TaN of ∼ 5 nm while a nominal ellipsometric thickness of ∼ 12 nm was obtained on Ru. Therefore, even if complete reduction during the formic acid treatment would have taken place, re-oxidation due to air exposure before the XPS analysis would have had a much more detrimental effect to the thinner films on TaN. Even more likely, these differences result from the behavior formic acid exhibits on different metallic surfaces. It is well known that on many transition metals formic acid undergoes catalytic dissociation towards hydrogen, thus promoting the reactions in eq. 5.7 and 5.8 [279, 280]. While no such activity is reported for TaN, Cu is at least slightly active. For Ru, in contrast, strong activity has been reported with respect to formic acid decomposition. Depending on the crystal orientation, two possible decomposition pathways, dehydration HCOOH → CO + H2 O

(5.9)

HCOOH → CO2 + H2 ,

(5.10)

and dehydrogenation

are known, both giving reducing species. On Cu surfaces, in contrast, only dehydrogenation has been observed. From this point of view, it therefore appears most likely that the Ru underlayers promote the reduction of the copper oxide films during formic acid treatment.

5.2 Electrochemical Copper Deposition on ALD Seed Layers To study the potential of the ALD films as seed layers for the electrochemical copper deposition (ECD), experiments were carried out using a CuSO4 -based plating bath with a concentration of 25 g/l Cu and 180 g/l H2 SO4 as well as a standard set of additives such as suppressor and grain refiner. This bath composition represents a standard formulation for metallization of printed circuit boards. The ECD experiments were conducted in a Walter Lemmen bench-type plating cell with parallel electrode configuration. Typically, the experiments were carried out in a “hot-entry” mode, meaning that the samples were introduced into the plating solution with a voltage applied in order to minimize etching of the Cu seed layer due to the sulfuric acid contained in the bath. All processes were run under potentiostatic conditions.

135

5 Seed Layers for the Electrochemical Deposition of Copper 5.2.1 TaN Diffusion Barriers For experiments with TaN diffusion barriers, a seed layer of 5 to 6 nm thickness was obtained by ALD and in-situ reduction with formic acid as described above. After the process, the wafers were immediately transferred to the ECD processes to avoid extensive air exposure of the seed layers. However, the results of these experiments were not satisfactory. Independently of the plating conditions, only rough, coarse-grained copper was obtained from the electroplating. Fig. 5.11 a shows a top-view SEM image of a typical sample. In comparison, Fig. 5.11 b displays the plating result obtained on a ∼ 11 nm thick Cu seed layer with 30 nm TiN diffusion barrier, prepared by sputtering without vacuum break. The continuous Cu film obtained by ECD is in strong contrast to the grainy structure on the ALD-seeded TaN. Nevertheless, to evaluate these results, one first has to compare the resistivity of the seed layers. For the sputtered Cu film a specific resistivity of 17 µΩcm was calculated from four-point probe measurements, which is comparable to the values reported by Liu et al. [278] for evaporated Cu films as shown in Fig. 5.10. Therefore, the film growth during ECD can be expected to proceed much more controlled on the PVD seed layer than on the ALD-seeded substrates, having 10 to

(a)

2 µm

(b)

500 nm

Figure 5.11: Top-view SEM images of ECD Cu obtained on 40 nm air-exposed PVD TaN with 5-6 nm ALD Cu seed layer (a), and (b) on 30 nm PVD TiN with an in-situ sputtered Cu seed layer of 11 nm thickness. For the experiments, quarters of 100 mm wafers were used and a current of 80 mA was applied for 9 min in case of sample (a) while 60 mA were flown for 5 min in case of sample (b).

136

5.2 Electrochemical Copper Deposition on ALD Seed Layers 100 times higher resistivity of the copper film. Another influence is likely to stem from the overall way the barrier/seed layer stack was prepared. While the ALD films were deposited on TaN layers that had been exposed to air before, the Cu/TiN stacks were sputtered without vacuum break, ruling out any barrier oxidation and hence ensuring a better defined interface between the copper film and the diffusion barrier, also with respect to subsequent ECD. Another influence towards the plating results on the ALD seed layers could stem from an incomplete reduction or potential re-oxidation of the ALD films due to air exposure, as discussed above. Since copper oxide is removed by the H2 SO4 solution even under hot-entry conditions, such effects could have led to defective seed layers, so that during ECD the copper film would have to be grown directly on the TaN barrier. Indeed, plating experiments on air-exposed TaN without any Cu seed layers gave very similar results as shown in Fig. 5.11 a, strongly supporting this hypothesis. Nevertheless, it must be noted that a quasi standard chemistry of the plating bath was used, for which quite similar grainy Cu growth on TiN was reported by Kim and Duquette [281]. However, after optimizing the bath composition mainly with respect to pH, the authors report that much smoother films were obtained on TiN without seed layer. Considering this, it appears likely that for a process integration optimizing both the ALD and the ECD process towards each other could lead to improved results.

5.2.2 Ru Underlayers For comparison, ECD studies were carried out on blanket, 100 nm thick evaporated Ru films with and without ALD-grown Cu. Furthermore, plating experiments were conducted on similar samples with sputtered Cu seed layers of equal thickness as the ALD films. Quarters of 100 mm wafers were used for these experiments. The plating conditions are given in Table 5.1. For the samples shown here, a constant voltage of 0.4 V was applied, leading to a plating current depending on the resistivity of the respective wafer. Fig. 5.12 shows top-view SEM images of ECD Cu films deposited on Ru (a), on Ru with PVD Cu seed layer (b), and on Ru seeded with ALD Cu (c). Table 5.2 summarizes the properties of the ECD Cu films obtained. Plating on Ru directly during a processing time of 300 s led to rough, coarse films as shown in Fig. 5.12 a. From four-point probe sheet resistance measurements, a comparably high resistivity of 3.2 µΩcm was calculated. In comparison, the ECD processes repeatedly proceeded in a very controlled manner with an ALD Cu seed layer on Ru.

137

5 Seed Layers for the Electrochemical Deposition of Copper

Table 5.1: Conditions of the Cu electroplating processes for the samples shown in Fig. 5.12. 200 Substrate nm Voltage (V) Current (mA) Time (s) Ru 0.4 150 300 Ru + PVD Cu 0.4 120 120 RuRu + ALD Cu seed, with 0.4 PVD seed, and 200 with ALD 120 ECD results on without seed 200 nm

2 µm

2 µm

2 µm

(a)

(b)

(c)

Figure 5.12: Top-view SEM images of ECD Cu films obtained on plain Ru (a), on Ru with a 9 nm sputtered Cu seed layer (b), and on Ru with a 7-8 nm ALDgrown Cu seed layer (c).

For example, during a plating time of only 120 s, a Cu film of 285 nm thickness was obtained at a current of 200 mA (Fig. 5.12 c). Compared to the film grown on Ru directly, a resistivity of only 2.8 µΩcm was measured for a slightly lower film thickness. Also with respect to morphology, the Cu obtained on ALD-seeded Ru appeared superior to the ECD films deposited on Ru without an ALD seed layer. They even surpassed the ones obtained on the sputtered Cu seed layers (Fig. 5.12 b). Nevertheless, given the lower thickness of the ECD Cu grown on the PVD seed layer and the thickness dependence of the resistivity, a direct comparison of the values calculated for the films on ALD and PVD Cu would be misleading. Based on these findings, the investigations were extended to patterned substrates. Thermal SiO2 films were patterned by electron-beam lithography and RIE to give line and hole structures of different width ranging from 200 nm upwards. With an etching depth of 800 nm the highest aspect ratio in these patterns was 4, comparable to Dual

138

5.2 Electrochemical Copper Deposition on ALD Seed Layers

Table 5.2: Thickness and specific resistivity ρ of ECD-grown Cu films on Ru as well as on Ru with a 9 nm sputtered and a 7-8 nm ALD-grown Cu seed layer. The plating conditions are summarized in Table 5.1. Substrate Ru Ru + PVD Cu Ru + ALD Cu

ECD Cu thickness (nm) 320 118 285

ρ (µΩcm) 3.2 3.4 2.8

Damascene interconnect structures. Afterwards, a layer stack of 10 nm TaN and 10 nm Ru was sputtered onto these structures without breaking the vacuum between the TaN and the Ru deposition. Similarly to the blanket Ru films, Cu2 O was grown during 600 ALD cycles at 120°C. An in-situ reduction step using formic acid vapor at 115°C / 20 min was carried out to convert the ALD film to metallic Cu. Subsequently, Cu ECD was carried out on these samples. For comparison, ECD was also conducted on patterned samples having the sputtered Ru/TaN film system but no additional ALD Cu. The conditions of the electroplating processes are summarized in Table 5.3. The experiments were carried out on square wafer pieces of ∼ 3 × 3 cm2 , applying a constant voltage of 0.4 V as for the blanket films. Results of these experiments are shown in Fig. 5.13. Cross-sectional SEM micrographs after Cu electroplating in ∼ 370 nm wide and ∼ 850 nm deep lines are shown. As Fig. 5.13 a displays, porous and grainy Cu deposits are obtained on Ru/TaN without ALD Cu. In contrast, much denser Cu films along with an improved filling behavior result on Ru/TaN seeded with ALD Cu (Fig. 5.13 b). These results confirm the observations on the blanket films.

Table 5.3: Conditions of the Cu electroplating processes for the patterned samples shown in Fig. 5.13. Substrate Ru/TaN Ru/TaN + ALD Cu

Voltage (V) 0.4 0.4

Current (mA) 180 250

Time (s) 120 120

139

ECD Experiments 5Cu Seed Layers for the Electrochemical Copper ECD on Patterns with PVD RuDeposition and PVDofRu / ALD Cu Unoptimized ECD conditions, comparable to the processes on blanket wafers (b)

(a) ECD Cu

1 µm

ECD Cu

SiO2

SiO2

Si

Si 1 µm

Initial film stack:

Figure 5.13: Cross-sectional SEM images of ECD Cu films on SiO2 patterns having a Initial film stack: 10 nm PVD TaN / 10 nm PVD Ru Ru/TaN andCu, (b)better with an additional ALD Cu Grainy Cu,sputtered incomplete fill film stack (a) without Denser behavior 10 nm PVD TaN / 10filling nm PVD Ru / ~ 8 nm ALD Cu seed layer of 7-8 nm nominal thickness. T. Waechtler Page 1

Several conclusions can be drawn from these findings. At first, although direct Cu ECD is possible on Ru, which has also been shown by different authors [240, 260], the results suggest that the combination of Ru with a Cu seed layer can improve the ECD growth and lead to a considerably higher film quality in similar plating processes. In this respect, ALD-grown Cu seed layers appear even more advantageous compared to PVD Cu. This behavior could be caused by the intermixing of the copper oxide with the Ru substrates during the ALD, leading to a smoother transition between the Ru and the Cu film. In contrast, intermixing can largely be ruled out for sputtered Cu seed layers due to the shorter processing times and temperatures around room temperature. Indeed, these results are supported by a recent study by Wu and Eisenbraun [282]. The authors report that PEALD-grown Cu seed layers integrated with a PEALD Ru liner on a TaN diffusion barrier gave considerably better ECD results with respect to film roughness and void-free filling of interconnect features compared to samples without Ru. Ru may therefore be regarded an enabler for the extension of copper metallization to future generations of ULSI devices, particularly when PVD-based techniques fail, so that ALD processes have to be introduced. In this case, especially the currently used Ta film serving as an adhesion layer between the TaN barrier and Cu seed layer could be replaced by Ru, as Ta deposition by ALD appears to be rather cumbersome (cf. Sec. 2.4.1, p. 52). On the other hand, it has also been shown that Ru alone does not serve

140

5.2 Electrochemical Copper Deposition on ALD Seed Layers as a reliable Cu diffusion barrier [283], so that transition-metal nitride films will most likely continue to be required. In this respect, Ru-containing nitrides such as Ru-TaN, Ru-TiN, or Ru-WCN have been proposed [243, 245, 246, 284, 285]. At the same time, such mixed-phase barriers could also help circumvent the issues observed during ECD on TaN seeded with ALD Cu. With respect to the overall processing sequence in the current study, another important conclusion may be drawn in light of the reduction and plating results obtained on TaN, Ta/TaN, and Ru. Ru is a noble metal producing only a conductive, easy-to-reduce oxide upon air exposure. In contrast, Ta, as a base metal, is more easily oxidized in air and its oxides are hardly converted back to metallic Ta. Although TaN can be regarded more stable with respect to oxidation compared to metallic Ta, oxides may still form also on TaN, creating a similar situation as on oxidized Ta. Thus, the significance of the reduction and ECD results obtained on the Ta-based underlayers prepared ex-situ appear limited. Even when considering the oxidizing ALD chemistry, its effect towards an insitu deposited TaN diffusion barrier can be expected much less detrimental compared to the barrier oxidation taking place during air exposure. In this context, the results obtained on Ru could also be regarded as an indicator with respect to the possibilities of integrating a nitride-based barrier deposition process with the in-situ ALD growth of a copper seed layer.

141

5 Seed Layers for the Electrochemical Deposition of Copper

142

6 Summary and Outlook Based on the premise that metallization systems of ultra-large scale integrated electronic circuitry may require novel methods replacing PVD techniques to deposit nanometerthin films such as nucleation layers for electrochemical metallization, a new method to deposit copper films by means of thermal atomic layer deposition of copper oxide and a subsequent reduction step was developed in this work. It was integrated with different diffusion barrier layers and copper electroplating processes. Because ALD is a chemical gas-phase film growth method based on sequential surface reactions, it is especially applicable to conformally coat complex three-dimensional objects and extended substrates on the nanoscale. Therefore, it appears particularly relevant for the fabrication of ULSI interconnect systems at and beyond the 22 nm technology node. Due to its cyclic nature, ALD is especially suited for growing compound films such as sulfides, nitrides, or oxides. Consequently, important applications to date are found in these fields. In contrast, the ALD of metallic films is not straight-forward, most notably for base metals. In case of copper, special attention has to be paid to its pronounced tendency to form islands and agglomerate at elevated temperature, especially on oxide or nitride substrates. Therefore, apart from the direct Cu ALD, more promising hybrid approaches to grow metallic copper films by ALD of a copper compound and a subsequent reduction reaction have been discussed. ALD Processes

The present work follows an approach to grow copper oxide via thermal ALD, which is reduced afterwards in a separate processing step.

As copper precursor

for the ALD, the Cu(I) β-diketonate bis(tri-n-butylphosphane)copper(I)acetylacetonate, [(n Bu3 P)2 Cu(acac)], was successfully applied for the first time. This is in contrast to the accepted opinion to date that metal(I), and especially copper(I), β-diketonates are not suited for ALD because of their self-decomposition tendency. [(n Bu3 P)2 Cu(acac)] can be synthesized in quantitative amounts by a straight-forward synthesis methodol-

143

6 Summary and Outlook ogy. The molecule offers several advantages: Being non-fluorinated, it is available from inexpensive educts, which is especially important for a possible industrial application of the process on a larger scale. The absence of fluorine in the precursor also helps avoid a major source of adhesion problems well known from Cu CVD when using fluorinated precursors. Nevertheless, the precursor is a liquid under standard conditions, making it possible to use liquid delivery approaches during the ALD. This is another important fact for practical applications, because solid-source precursors are prone to particle generation during deposition. Before embarking on the development of ALD processes, CVD screening studies were carried out with the precursor to study its self-decomposition behavior and thus establish a suitable temperature range for the ALD. Initial ALD experiments helped to determine suitable co-reactants. As a result of these investigations, ALD processes using [(n Bu3 P)2 Cu(acac)] and wet oxygen to create copper oxide were carried out at moderate temperatures of 100 to 160°C, fulfilling a prerequisite to obtain ultra-thin, continuous films and suppress agglomeration. Temperature-independent growth regimes, essential for ALD, were found at least up to 120°C with growth-per-cycle values around 0.1 Å for the conductive substrates Ru, TaN, and Ta. The ALD window extended down to 100°C on Ru while it was found to be somewhat narrower in case of TaN. However, saturated growth on TaN was still obtained at 135°C. Due to apparent precursor selfdecomposition on Ta, bi-modal growth was experienced, leading to the parallel formation of continuous films and isolated clusters. This effect was not observed on TaN up to about 130°C, and neither did it appear for any of the depositions on Ru where very well controlled growth regimes could be obtained. However, higher process temperature also led to the formation of clusters of ∼ 20 nm on TaN and an increase of the GPC with temperature, being a clear sign of beginning CVD modes and thermal decomposition of the Cu precursor. In this respect, it was observed that the degree of nitridation of the tantalum nitride underlayers considerably influences the growth of the films. This is in accordance with theoretical studies suggesting that metallic Ta leads to a strong decomposition tendency of metal-organic compounds. In fact, also during the CVD screening studies carried out on Ta, mainly grainy deposits were obtained rather than continuous, smooth films. On both Ta and TaN as well as on Ru the ALD films showed very good adhesion in the tape test, most likely due to the absence of fluorine in the precursor, which is different from the typical behavior of CVD Cu from fluorinated precursors. Regard-

144

ing the chemical nature of the ALD films, XPS investigations indicated the formation of Cu2 O along with CuO and Cu(OH)2 towards the sample surface due to exposing the films to air between the ALD process and the XPS studies. Detailed investigations of the growth process at 120°C, i. e. at a temperature within the ALD window, revealed well saturated two-dimensional growth on TaN. This is expressed by a linear increase of the film thickness with the number of ALD cycles and reproduction of the substrate roughness. A different situation was found on Ru. Not only did the growth-per-cycle not saturate in the same way as on TaN. The surface roughness also showed a different behavior: It was reduced with increasing number of ALD cycles so that a “smoothening effect” was observed. Furthermore, cross-sectional TEM as well as XPS depth profile investigations revealed significant intermixing of the growing ALD copper oxide films with the Ru substrate, which was found to be in strong contrast to the well-developed copper oxide/substrate interface on TaN. The intermixing on Ru as well as the columnar microstructure of the 100 nm thick Ru underlayers resulted in anisotropy effects in the optical functions for Ru and considerably complicated the ellipsometric characterization of the films on Ru. As a result, contradictory thickness values compared to cross-sectional TEM investigations were obtained, because a copper oxide / ruthenium composite was initially modeled during processing of the ellipsometry data. Only by applying a distinct model for copper oxide and by restricting the measurements to one angle of incidence, more reliable values for the thickness of the ALD films were obtained. These experiences show that although spectroscopic ellipsometry is a viable method for fast, non-destructive characterization of ultra-thin films, care must be taken especially when considering metallic films and unknown material systems. A comparison of the results with other characterization techniques is strictly required in these cases. ALD experiments to grow copper oxide films under similar conditions on thermal SiO2 yielded smooth, continuous films with comparable chemical composition as on the other substrates. No temperature dependence of the GPC together with saturated growth was observed between 110 and 120°C. However, the absolute values of the growth rate were considerably lower than on the conductive substrates, while the adhesion of the ALD-grown films was excellent on silica as well. With respect to the chemical composition, XPS revealed similar results as for the films grown on Ru or TaN. However, the ALD processes on SiO2 proceeded in an island-growth mode with later coalescence

145

6 Summary and Outlook towards continuous films as revealed from AFM investigations after different number of cycles. The formation of isolated islands during the early stages of the growth is also expressed by a strong absorption feature at ∼ 3 eV in the ε2 spectra extracted from the ellipsometric models. As this feature disappears with increasing number of ALD cycles, it is most likely related to quantum confinement effects of the nanoclusters formed at the beginning of the deposition process. Comparing results obtained on 20 nm thick dry thermal SiO2 films with the ALD on 300 nm wet thermal SiO2 , some differences in the growth became obvious especially from the ellipsometry results. The higher GPC observed on the dry silica films compared to the ALD on wet thermal SiO2 could be due to a higher density of the dry SiO2 and thus larger number of reactive sites, especially OH groups, available for the ALD film growth. Secondly, comparing the dielectric functions of the copper oxide films grown on both types of silica substrates showed a considerable blue-shift on wet thermal SiO2 . However, a final explanation for this effect, which was repeatedly observed, could so far not be found. The characteristics of the developed ALD process are summarized in Table 6.1 for the different substrates studied.

Reduction and Copper Electroplating

To reduce the oxidic ALD films for an application as seed layers in Cu electroplating, several processes were studied. Among them, thermal vapor-phase treatments using formic acid as the reducing agent showed the best results. With ALD copper oxide films on TaN reduced after long-time storage in air, effective reduction was obtained already at a temperature of ∼ 115°C, i. e., at a similar temperature as the ALD was carried out. Nevertheless, freshly-grown copper oxide films on TaN still showed oxidized copper in XPS investigations after the reduction, either due to incomplete reduction or re-oxidation before the ex-situ XPS study. Therefore, non-satisfactory ECD results were obtained on such seed layers, also due to the high resistivity of the films at a thickness of ∼ 5 nm. Clearly different results were obtained on Ru substrates. From XPS investigations after formic acid reduction at similar temperatures, the formation of metallic Cu was confirmed. Most likely, the catalytic activity of Ru regarding the decomposition of formic acid towards atomic hydrogen assists the reduction of the copper oxide films. During Cu electroplating experiments, encouraging results were obtained even under non-optimized ECD conditions. While rough, coarse-grained Cu grew on Ru directly,

146

147

Continuous films with isolated clusters

Cu2 O with CuO and Cu(OH)2 towards surface; contaminants: C (2 . . . 3 %), Cl (0.2 . . . 1.2 %), P (≤ 2 %)

Good

Film morphology

Chemical composition of the films

Adhesion (tape test)

Good

Smooth, continuous films replicating substrate roughness

Linear, twodimensional growth

Good

Continuous films smoothening the substrate

Intermixing with substrate

Good

Smooth, continuous films after island coalescence

Island growth with coalescence to continuous film

0.05 (wet SiO2 ) . . . 0.12 (dry SiO2 )

Bimodal growth; precursor selfdecomposition

0.13 . . . 0.15

Film growth behavior

0.08 . . . 0.09

110 . . . 120

0.12 . . . 0.14

100 . . . 120

SiO2

GPC in the ALD window (Å)

115 . . . 125

TaN

115 . . . 125

Ta

Substrate Ru

ALD window (°C)

Characteristic

Table 6.1: Summary of the developed copper oxide ALD process using [(n Bu3 P)2 Cu(acac)] and wet oxygen for the different substrates studied.

6 Summary and Outlook much denser and smoother films were obtained on ALD-seeded blanket Ru films. Those results even surpassed the ones obtained on Cu seed layers sputtered onto the Ru films, also with respect to resistivity. Similar behavior was observed for plating experiments in SiO2 patterns sputter-coated with a 10 nm Ru / 10 nm TaN film stack. With no additional ALD copper present, grainy and rough ECD Cu deposits were obtained in different line features of 200 nm minimum width and a maximum aspect ratio of 4. In contrast, samples having reduced ALD Cu similar as on the blanket Ru films showed significantly improved growth during Cu ECD as well as improved filling behavior. As a result, denser and smoother electroplated films were obtained. These new results point out that combining Ru with ALD Cu could further enable copper metallization in future device generations. Especially when ALD-grown diffusion barriers become prominent, other liner materials than Ta most probably will have to be applied, with Ru being one possible solution. These findings are supported by parallel work only recently reported on PEALD-grown Ru and Cu nucleation layers and their integration with Cu ECD processes [282].

Outlook

As a more radical solution for interconnects in future ULSI device generations, carbon nanotubes (CNT) are discussed [11, 286], especially with respect to the formation of vias between neighboring metallization levels [287]. Since achieving an area density of up to 1014 cm−2 [288], which is required to surpass the electrical resistivity of Cu, poses strong challenges to the CNT growth process, CNT/Cu composites could be an intermediate solution to combine the advantages of both materials. In any event, CNTbased vias will also have to be electrically connected to the adjacent metal levels [289]. For functionalizing and coating of CNTs with metals, ALD appears especially suited due to its uniform film growth behavior. Therefore, initial studies were carried out during which multi-wall CNTs were subjected to the copper oxide ALD process at 120°C. As revealed by the scanning transmission electron microscopy (STEM) and EELS analyses shown in Fig. 6.1, grainy copper oxide was grown conformally around carbon nanotubes in these processes, which were not specifically pre-treated. Although further studies on the film growth as well as on the reduction process to form metallic Cu around the CNTs are required, it might be anticipated that the ALD sequences developed could also be integrated with CNT-based interconnect schemes.

148

(a)

50 nm

funded by EPSRC

(b)

O

Cu

Figure 6.1: STEM analysis of a multi-walled carbon nanotube after copper oxide ALD. The STEM image with EELS contrast for Cu (a) and corresponding EELS spectrum (b) reveal the formation of aspectrum grainy copper oxide coating conforEELS mally around the CNT.

Another possible application of the developed copper oxide ALD process relates to the field of photovoltaics. Since Cu2 O and CuO, among others, are abundant semiconductors, these materials are foreseen to be applied for large-volume production of cost-effective solar cells [290]. As a method to grow ultra-thin films on nanostructured substrates and across large areas, the ALD process appears particularly relevant for thinfilm solar cells.

149

6 Summary and Outlook Nevertheless, the present work only selects one example from the broad range of applications ALD offers in many different areas of thin-film growth, especially with respect to the evolving field of nanotechnology. In this sense, the goal of the work was to cover the spectrum from the basic principles and detailed investigation of an ALD process, for which a substance out of a precursor family was selected that has so far been considered inappropriate for ALD, to its practical application in ULSI devices and integration with preceding and subsequent processes during interconnect formation. However, work remains to be carried out regarding the further optimization of the reduction processes, in particular with substrates other than ruthenium, as well as with respect to the integration of the ALD films with the subsequent electroplating processes. To a certain extent, these activities have to be supported by in-situ analyses as well as an in-situ approach for depositing the barrier films prior to the ALD metallization.

150

Zusammenfassung und Ausblick Es ist absehbar, dass für Metallisierungssysteme künftiger ultrahochintegrierter Schaltkreise neuartige Verfahren als Ersatz für die etablierten PVD-Techniken erforderlich sein werden, um wenige Nanometer dünne Schichten, z. B. Nukleationsschichten für die galvanische Metallabscheidung, herzustellen. Deshalb wurde in der vorliegenden Arbeit eine neue Methode zur Herstellung von Kupferschichten mittels thermischer Atomlagenabscheidung von Kupferoxid und anschließender Reduktion entwickelt. Das Verfahren wurde auf verschiedenen Diffusionsbarrieresystemen angewendet und mit KupferGalvanikprozessen integriert. Da die ALD ein chemisches Verfahren zur Schichtbildung aus der Gasphase darstellt, welches auf sequentiellen Oberflächenreaktionen basiert, ist sie vor allem geeignet, dreidimensionale Objekte sowie ausgedehnte Substrate im Nanometerbereich konform zu beschichten. Die ALD erscheint daher besonders interessant für die Herstellung der ULSI-Metallisierungssysteme ab dem 22 nm-Technologieknoten. Aufgrund der zyklischen Prozessführung eignet sich die Methode vor allem zur Abscheidung von Verbindungen wie Sulfiden, Nitriden oder Oxiden, weshalb die wichtigsten Anwendungsgebiete bisher in diesen Bereichen zu suchen sind. Demgegenüber stellt die ALD metallischer Schichten eine große Herausforderung dar, speziell im Hinblick auf unedle Metalle. Im Fall von Kupfer muss zusätzlich der Tendenz zur Inselbildung und Agglomeration bei höheren Temperaturen, vor allem auf oxidischen oder nitridischen Oberflächen, ein besonderes Augenmerk gelten. Deshalb sind in der Literatur neben der direkten KupferALD Mischverfahren als besonders vielversprechend diskutiert worden, bei denen zunächst eine Kupferverbindung hergestellt wird, die anschließend einer Reduktionsbehandlung unterzogen wird. ALD-Prozesse

Die vorliegende Arbeit folgt dem Ansatz, mittels thermischer ALD Kupferoxid abzuscheiden, welches anschließend in einem zusätzlichen Prozessschritt reduziert wird.

151

6 Summary and Outlook Als Kupfervorstufe für die ALD wurde dabei das Kupfer(I)-β-Diketonat Bis(tri-nbutylphosphan)kupfer(I)acetylacetonat, [(n Bu3 P)2 Cu(acac)], zum ersten Mal erfolgreich eingesetzt. Dies steht im Gegensatz zur bisher vorherrschenden Meinung, dass Metall(I)-, und speziell Kupfer(I)-, β-Diketonate wegen ihrer Neigung zur Selbstzersetzung für die ALD ungeeignet seien. [(n Bu3 P)2 Cu(acac)] kann in quantitativen Mengen mit Hilfe einer vergleichsweise einfachen Synthesemethode hergestellt werden. Das Molekül bietet mehrere Vorteile: Da es nicht fluoriert ist, können für die Synthese preisgünstige Edukte eingesetzt werden. Dies ist ein wichtiger Aspekt hinsichtlich einer möglichen industriellen Anwendung des Prozesses in größerem Maßstab. Gleichzeitig wird durch das Fehlen von Fluor im Precursor eine wesentliche Ursache verminderter Haftung beseitigt, wie sie bei CVD-Kupferschichten aus fluorierten Vorstufen häufig auftritt. Trotzdem ist die Verbindung unter Normalbedingungen flüssig, so dass für die Precursorzuführung während der ALD Flüssigdosierverfahren verwendet werden können. Dies ist ein weiterer wichtiger Aspekt für praktische Anwendungen, da Feststoffquellen häufig zur Partikelgeneration während der Abscheidung neigen. Bevor mit der Entwicklung von ALD-Prozessen begonnen werden konnte, wurden mit dem Precursor CVD-Vorversuche durchgeführt, um sein Zersetzungsverhalten zu untersuchen und daraus einen geeigneten Temperaturbereich für die ALD abzuleiten. Sich anschließende Versuche zur ALD trugen dazu bei, geeignete Reaktionspartner zu finden. Im Ergebnis dieser Untersuchungen kristallisierten sich Prozesse mit [(n Bu3 P)2 Cu(acac)] und feuchtem Sauerstoff heraus, um bei vergleichsweise moderaten Temperaturen zwischen 100 und 160°C Kupferoxid bilden zu können. Mit diesem Temperaturbereich wurde eine Voraussetzung dafür erfüllt, ultradünne, geschlossene Schichten zu erhalten und Agglomeration weitgehend zu unterdrücken. Temperaturunabhängiges Schichtwachstum, was für die ALD wesentlich ist, wurde zumindest bis zu einer Temperatur von 120°C erzielt. Dabei betrug das Zyklenwachstum (GPC) etwa 0.1 Å für die leitfähigen Substrate Ru, TaN und Ta. Das ALD-Fenster erstreckte sich im Fall von Ru bis hinunter zu einer Temperatur von 100°C, während es im Fall von TaN etwas enger war. Nichtsdestoweniger wurde auch bei 135°C auf TaN noch gesättigtes Schichtwachstum erzielt. Aufgrund offensichtlicher Selbstzersetzung des Precursors auf Ta kam es dort zu bimodalem Wachstum, so dass gleichzeitig mit geschlossenen Schichten immer auch größere, isolierte Cluster erhalten wurden. Dieser Effekt wurde auf TaN bis zu einer Temperatur von 130°C nicht beobachtet. Ebensowenig trat er im untersuchten

152

Temperaturbereich auf Ru auf, wo die Schichtbildungsprozesse stets gut reproduzierbar abliefen. Bei höheren Prozesstemperaturen wurde aber auf TaN ebenso die Bildung von Clustern von etwa 20 nm Durchmesser beobachtet, was als deutliches Zeichen einer beginnenden thermischen Zersetzung des Kupferprecursors und dadurch verursachtem CVD-artigen Wachstum gedeutet werden kann. Hierbei wurde auch beobachtet, dass der Nitrierungsgrad des TaN eine wesentliche Rolle für das Wachstum der ALD-Schichten spielt. Theoretische Studien legen ebenfalls nahe, dass auf metallischen Ta-Oberflächen metallorganische Verbindungen stark zur Zersetzung neigen. Tatsächlich wurden gerade auch während der CVD-Vorversuche auf Ta vor allem körnige und kaum geschlossene oder glatte Schichten erhalten. Sowohl auf Ta und TaN als auch auf Ru jedoch zeigten die ALD-Schichten eine sehr gute Haftung, die mittels Klebebandabzugsversuch („Tape Test“) untersucht wurde. Dies liegt sehr wahrscheinlich im fehlenden Fluor im Precursor begründet und steht damit im Gegensatz zum typischen Verhalten von mittels CVD abgeschiedenem Kupfer bei Verwendung fluorierter Vorstufen. Hinsichtlich der chemischen Zusammensetzung der ALD-Schichten legen XPS-Untersuchungen die Bildung von Cu2 O nahe. Aufgrund von Luftkontakt der Proben zwischen den ALD-Prozessen und XPS-Analysen wurden zusätzlich vor allem an der Oberfläche der Schichten CuO und Cu(OH)2 nachgewiesen. Detailliertere Untersuchungen des Schichtbildungsprozesses bei 120°C, d. h. bei einer Temperatur innerhalb des ALD-Fensters, zeigten sehr gut sättigendes zweidimensionales Wachstum auf TaN. Dies drückt sich zum einen durch eine lineare Charakteristik der Schichtdicke mit steigender Anzahl von ALD-Zyklen sowie zum anderen durch Schichtrauheiten aus, die denen des Substrats entsprechen. Auf Ru hingegen wurden andere Effekte beobachtet: Neben der Tatsache, dass das Zyklenwachstum kein ebenso gutes Sättigungsverhalten aufwies wie auf TaN, zeigten sich auch Unterschiede bezüglich der Rauigkeit. Diese verringerte sich mit zunehmender Anzahl an ALD-Zyklen, so dass eine „Glättung“ beobachtet werden konnte. Gleichzeitig wurde mit Hilfe von TEMUntersuchungen an Probenquerschnitten sowie XPS-Tiefenprofilen eine merkliche Vermischung des sich bildenden Kupferoxids mit den Ru-Substraten nachgewiesen, was in deutlichem Gegensatz zur gut ausgebildeten Grenzfläche Kupferoxid/Substrat auf TaN steht. Diese Vermischung sowie die kolumnare Mikrostruktur der 100 nm dicken RuSchichten führte zu Anisotropieeffekten in den optischen Eigenschaften des Ru, welche die ellipsometrische Charakterisierung der Schichten auf Ru sehr erschwerte. Dadurch

153

6 Summary and Outlook wurden widersprüchliche Dickenwerte im Vergleich zu den TEM-Untersuchungen erhalten, weil während der Modellbildung zur Auswertung der Ellipsometrieergebnisse zunächst eine Mischung aus Kupferoxid und Ruthenium modelliert wurde. Erst nach Anwendung eines definierten Modells für Kupferoxid und durch Beschränken der Messungen auf einen Einfallswinkel konnten zuverlässigere Ergebnisse für die Schichtdicke erhalten werden. Diese Erfahrungen zeigen, dass die Ellipsometrie zwar eine geeignete Methode zur schnellen, zerstörungsfreien Charakterisierung ultradünner Schichten darstellt, jedoch insbesondere bei metallischen Schichten und unbekannten Materialsystemen besondere Vorsicht geboten ist. Ein Vergleich der Ellipsometrieergebnisse mit anderen Analysemethoden ist in solchen Fällen unbedingt erforderlich. ALD-Experimente bei ähnlichen Prozessbedingungen zur Abscheidung von Kupferoxid auf thermischem SiO2 führten zur Bildung glatter, geschlossener Schichten von vergleichbarer chemischer Zusammensetzung wie auf den anderen Substraten. Zwischen 110 und 120°C wurde gesättigtes, temperaturunabhängiges Wachstum beobachtet. Die Absolutwerte der Abscheiderate waren aber niedriger als auf den leitfähigen Substraten, wobei die Haftung der ALD-Schichten auf Siliziumoxid gleichfalls gut war. Hinsichtlich der chemischen Zusammensetzung wurden mittels XPS ähnliche Ergebnisse wie auf Ru oder TaN erhalten. Während der ALD-Prozesse auf SiO2 kommt es jedoch zunächst zur Bildung von Inseln, die später koaleszieren, so dass sich geschlossene Schichten ergeben. Dies wurde mit Hilfe von AFM-Untersuchungen nach einer unterschiedlichen Anzahl von ALD-Zyklen gezeigt. Die Bildung einzelner Inseln zu Beginn des Schichtbildungsprozesses drückt sich auch in einem starken Absorptionssignal bei ∼ 3 eV in den ε2 -Spektren aus, die aus den Ellipsometriemodellen extrahiert werden konnten. Da dieses Signal mit fortschreitender Anzahl von ALD-Zyklen verschwindet, wird es vermutlich durch Quantenconfinement-Effekte der Nanocluster hervorgerufen, die zu Beginn des Prozesses gebildet werden. Ein Vergleich der ALD-Ergebnisse auf 20 nm dickem, trockenem thermischen SiO2 mit denen, die auf 300 nm dicken Schichten von feuchtem thermischen SiO2 erhalten wurden, zeigt Unterschiede im Schichtwachstum, die vor allem anhand der ellipsometrischen Charakterisierung offenbar wurden. Größere Werte für das Zyklenwachstum auf trockenem SiO2 werden vermutlich durch die höhere Dichte dieser Schichten und einer damit verbundenen größeren Zahl Adsorptionsplätze, vor allem OH-Gruppen, im Vergleich zum feuchten SiO2 hervorgerufen. Weiterhin wurde in den dielektrischen Funktionen der Kupferoxidschichten auf

154

feuchtem thermischen SiO2 wiederholt eine deutliche Blauverschiebung gegenüber denen auf trockenem thermischen SiO2 festgestellt, wofür aber bisher keine abschließende Erklärung gefunden werden konnte. Tabelle 6.2 (S. 156) stellt für die verschiedenen untersuchten Substratmaterialien die Merkmale des ALD-Prozesses zusammen.

Reduktion und galvanische Kupferabscheidung

Vor Anwendung der oxidischen ALD-Schichten für galvanische Kupferprozesse müssen diese zunächst reduziert werden. Dazu wurden verschiedene Verfahren untersucht, von denen thermische Gasphasenbehandlungen mit Ameisensäure als Reduktionsmittel die besten Ergebnisse zeigten. An ALD-Kupferoxidschichten auf TaN, die längere Zeit Luft ausgesetzt waren, wurde eine effiziente Reduktion bereits bei Temperaturen um 115°C, und damit bei ähnlichen Temperaturen wie der ALD-Prozess selbst, erzielt. An frischem Kupferoxid auf TaN wurden nach der Reduktion mittels XPS trotzdem noch Anteile oxidierten Kupfers nachgewiesen, die entweder aus einer unvollständigen Reduktion oder aber Rückoxidation vor den ex situ durchgeführten XPS-Untersuchungen herrühren können. Daher wurden auch keine befriedigenden Galvanikergebnisse auf derartigen Startschichten erhalten, was jedoch auch durch den vergleichsweise hohen Widerstand der Schichten bei einer Dicke von nur ∼ 5 nm begründet sein könnte. Deutlich bessere Resultate wurden auf Ru-Substraten erzielt. XPS-Untersuchungen nach der Ameisensäurereduktion bei vergleichbaren Temperaturen bestätigten die Bildung metallischen Kupfers. Es ist zu vermuten, dass die katalytischen Eigenschaften des Ru hinsichtlich der Zersetzung von Ameisensäure unter Bildung atomaren Wasserstoffs die Reduktion des Kupferoxids befördern. Während der Kupfer-Galvanikexperimente wurden sehr vielversprechende Ergebnisse bereits unter nichtoptimierten Abscheidebedingungen erzielt. Während auf Ru allein lediglich raues, grobkörniges Cu gewachsen ist, wurden auf ganzflächigem Ru mit einer ALD-Kupferstartschicht sehr viel dichtere und glattere galvanische Kupferschichten erzielt. Diese Ergebnisse übertrafen, auch im Hinblick auf den elektrischen Widerstand, sogar diejenigen, die mit gesputterten Kupferkeimschichten auf Ru erhalten wurden. Ein ähnliches Verhalten wurde danach bei Galvanikversuchen in strukturiertem SiO2 mit einem gesputterten Schichtsystem aus 10 nm Ru / 10 nm TaN erhalten. Ohne ALD-Kupfer wurde in den verschiedenen Grabenstrukturen mit einer minimalen Breite von 200 nm und einem maximalen Aspektverhältnis von 4 lediglich körniges, raues Cu abgeschieden. Demgegenüber zeigten Wafer

155

6 Summary and Outlook

Tabelle 6.2: Übersicht des entwickelten ALD-Prozesses zur Abscheidung von Kupferoxid ausgehend von [(n Bu3 P)2 Cu(acac)] und feuchtem Sauerstoff für verschiedene Substratmaterialien.

TaN

100 . . . 120

110 . . . 120

SiO2

Ta 115 . . . 125

Substrat Ru

115 . . . 125

0.13 . . . 0.15

Parameter

ALD-Fenster (°C)

0.08 . . . 0.09

Durchmischung mit Substrat

Inselwachstum und Koaleszenz zu geschlossenen Schichten

0.12 . . . 0.14

Lineares, zweidimensionales Wachstum

0.05 (feuchtes Oxid) . . . 0.12 (trockenes Oxid)

Wachstumsverhalten

Bimodales Wachstum; Precursor-Selbstzersetzung

Geschlossene Schichten; Glättung des Substrates

Glatte, geschlossene Schichten nach Koaleszenz von Wachstumsinseln

Zyklenwachstum im ALD-Fenster (Å)

Schichtmorphologie

Geschlossene Schichten mit einzelnen Clustern

Glatte, geschlossene Schichten; Reproduktion der Substratrauheit

Cu2 O mit CuO und Cu(OH)2 an der Oberfläche; Verunreinigungen: C (2 . . . 3 %), Cl (0.2 . . . 1.2 %), P (≤ 2 %)

Gut

Chemische Zusammensetzung der Schichten

Gut

Gut

Gut

Haftung (Tapetest)

156

mit einer ähnlichen ALD-Kupferkeimschicht wie auf dem ganzflächigen Ru während der Galvanikprozesse ein deutlich verbessertes Wachstums- und Füllverhalten in den Strukturen. Auch in diesen Fällen wurden wiederum dichte, glatte Schichten erzielt. Diese Ergebnisse legen nahe, dass eine Kombination von Ru mit ALD-Cu sehr förderlich für die Kupfer-Metallisierung künftigter Schaltkreisgenerationen sein könnte. Gerade wenn ALD-basierte Diffusionsbarrieresysteme zum Einsatz gelangen, werden höchstwahrscheinlich andere Haftschichtmaterialien als Ta verwendet werden müssen, wobei Ru einen möglichen Ersatz darstellt. Die hier gezeigten Resultate werden auch durch parallel durchgeführte Arbeiten bestätigt, bei denen Ru- und Cu-Schichten, die mittels plasmabasierter ALD gewachsen wurden, mit Kupfer-Galvanikprozessen integriert wurden [282].

Ausblick

Als eine grundlegend neue Variante für Leitbahnsysteme künftiger ULSI-Generationen werden derzeit Kohlenstoffnanoröhren (CNT) diskutiert [11, 286], und zwar besonders hinsichtlich der Erzeugung von Durchkontaktierungen (Vias) zwischen benachbarten Metallisierungsebenen [287]. Da für CNT-Flächendichten von 1014 cm−2 [288], die benötigt werden, um den elektrischen Widerstand des Kupfers zu übertreffen, noch große prozesstechnische Herausforderungen zu lösen sind, könnten Komposite aus Cu und CNTs eine Zwischenlösung sein, um die Vorteile beider Materialien zu vereinen. In jedem Fall müssen CNT-basierte Vias auch zu den angrenzenden Metallisierungsebenen elektrisch kontaktiert werden [289]. Um aber die Kohlenstoffnanoröhren zu funktionalisieren oder mit Metallen zu beschichten, erscheint die ALD wegen ihres einheitlichen Schichtabscheideverhaltens besonders gut geeignet. Aus diesem Grund wurden Untersuchungen begonnen, bei denen mehrwandige CNTs in ALD-Prozessen bei 120°C mit Kupferoxid beschichtet wurden. Wie rastertransmissionselektronenmikroskopische Untersuchungen (STEM) in Verbindung mit der Elektronenenergieverlustspektroskopie (EELS) zeigen (Bild 6.1, S. 149), wurden in diesen Prozessen Kohlenstoffnanoröhren konform mit feinkörnigem Kupferoxid beschichtet. Die CNTs wurden dafür jedoch nicht speziell vorbehandelt. Auch wenn weiterführende Untersuchungen hinsichtlich des Schichtwachstums sowie zu den Reduktionsprozessen unabdingbar sind, um tatsächlich kupferbeschichtete Kohlenstoffnanoröhren herzustellen, wird erwartet, dass die entwickelten Prozesse auch mit CNT-basierten Leitbahnsystemen integriert werden

157

6 Summary and Outlook können. Eine weitere mögliche Anwendung des entwickelten Kupferoxid-ALD-Prozesses liegt auf dem Gebiet der Photovoltaik. Da unter anderem Cu2 O und CuO als Halbleiterwerkstoffe reichlich verfügbar sind, werden diese Stoffe als mögliche Materialien für die großvolumige Herstellung preisgünstiger Solarzellen angesehen [290]. Als eine Methode, ultradünne Schichten auf nanostrukturierten, großen Substraten abscheiden zu können, erscheint der ALD-Prozess besonders für Dünnschichtsolarzellen interessant. In der vorliegenden Arbeit wird jedoch lediglich ein Beispiel aus dem breiten Anwendungsfeld herausgegriffen, welches das Verfahren der ALD im Bereich der Herstellung dünnster Schichten, speziell im sich entwickelnden Gebiet der Nanotechnologie, bietet. In dieser Hinsicht bestand das Ziel dieser Arbeit darin, das gesamte Spektrum von den Grundprinzipien und detaillierteren Untersuchungen eines ALD-Prozesses bis hin zu seiner praktischen Anwendung in ULSI-Bauelementen und der Integration mit vor- und nachgelagerten Prozessen während der Herstellung des Metallisierungssystems aufzuzeigen. Dabei wurde eine Vorstufenverbindung aus einer Precursorfamilie ausgewählt, die bisher als für die ALD weitgehend ungeeignet erschien. Trotzdem müssen weitere Arbeiten in Bezug auf die Optimierung der Reduktionsprozesse, spezielle auf anderen Substraten als Ruthenium, sowie hinsichtlich der Integration der ALD-Schichten mit nachfolgenden Galvanikprozessen erfolgen. Zu einem nicht geringen Teil müssen diese Arbeiten durch In-Situ-Analysen sowie die In-Situ-Abscheidung der Barriereschichtsysteme vor der ALD unterstützt werden.

158

A ALD Equipment A.1 System Overview All ALD processes as well as the CVD screening studies were carried out in a research tool named “Varian Gartek”, which was originally designed as a CVD reactor. Fig. A.1 shows a photograph of the equipment. For the ALD, the control system of the equipment was modified to enable cyclic processes. These are monitored by a PC program that also allows to design ALD processing recipes. A detailed description of this control unit and software can be found in the work by M. Böhme [219]. The equipment is designed as a single-wafer, cold-wall reactor and is equipped with a load-lock chamber for sample loading without venting the reactor chamber. It is capable of handling substrates up to 100 mm in diameter. For processing, the wafers are placed on a graphite plate which is resistively heated by a graphite heater from the back side. The heater temperature is controlled by a thyristor controller and measured by Pt/PtRh thermocouples. It may not exceed a maximum value of 600°C. The actual wafer temperature can be calculated from calibration tables, depending on the types and flows of the processing gases as well as the pressure. As typical for cold-wall reactors, the reactor walls are kept at a temperature below the temperature of the wafer. On the other hand, the chamber needs to be sufficiently tempered to ensure that no precursor condensation on the reactor walls occurs. For this purpose, a silicone-oil based thermostat is installed on the equipment, and the heated oil is pumped through heating coils mounted inside the chamber walls. During the experiments of the current work, an oil temperature of 85°C, resulting in a chamber temperature between 70 and 80°C, was found suitable when using the precursor [(n Bu3 P)2 Cu(acac)]. The system vacuum of the equipment is generated by a turbomolecular pump, which is backed by a rotary pump. With this system, a base pressure of the reactor of < 3 x 10−6 mbar is achieved. During processing, the reactor is separated from the wafer

159

A ALD Equipment

6 5

4 3

8

1

9

2 7 1 2 3 4 5

Load lock chamber Wafer transport chamber Reactor chamber Gas inlet Bubbler system

6 7 8 9

Liquid delivery system Front panel Control unit for liquid delivery systems Central control rack

Figure A.1: Photograph of the ALD system “Varian Gartek”.

160

A.1 System Overview

Vacuum Scheme of the CVD/ALD System Varian Gartek Roughing Line G1

V L

F1

V O1

D3

E1

H2

Reactor

Load Lock

E2

N2

N1 V A

Wafer Transport Chamber

C2 I

V M1

Y

D1

V M2

Exhaust

N2 B

H1

N2

N2 V

V O2 V

C1 S1

N2

Exhaust A B C1 C2 D1 D2 D3 E1 E2 F1 G1 H1

N2

S2

D2

Roots Blower Turbomolecular Pump Roughing Pump Turbo Roughing Pump Roots Gate Valve Transport Chamber Turbo Gate Valve Roots Gate Valve Butterfly Valve Process Line Butterfly Valve Roughing Line Roughing Valve Reactor Roughing Valve Load Lock Turbo Purge

H2 I L M1 M2 N1 N2 O1 O2 S1 S2 Y

Vacuum Gauge Butterfly Valve Pneumatic Vacuum Valve Pneumatic Gas Valve Manual Gas Valve

Roots Purge Load Lock Refill Baratron Reactor Pirani Load Lock Pirani Transport Chamber Thermocouple Roots Thermocouple Turbo Cold Cathode Reactor Cold Cathode Vacuum Line Roughing Pump Purge Turbo Roughing Pump Purge Roots High Vacuum Valve Load Lock

Figure A.2: Vacuum scheme of the ALD system “Varian Gartek”.

161

A ALD Equipment transfer chamber by the heating stage that presses the sample holder plate against an inner ring of the reactor when moved upwards during processing. The processing vacuum is then sustained by a roots pump, which is backed by a second rotary pump. The vacuum system shown in Fig. A.2 also offers the possibility to switch between the turbo and the roots paths, both for evacuating the reactor and the load lock chamber. Furthermore, the reactor can be evacuated by lines with large (160 mm) and small (40 mm) diameter. Together with the two butterfly valves installed, a range of the processing pressure between 0.1 and 10 mbar is achieved. Besides the delivery systems and bubbler for the liquid processing chemicals described in the following, the system features five lines for ultra-pure gases. While N2 is used for venting the reactor and load-lock chambers only, Ar is used both as purging gas during and after the processes as well as carrier gas for the liquid precursors. In addition to these two inert gases, O2 , H2 , and NH3 are available as reactive gases.

A.2 Delivery of Precursors and Co-Reactants One of the simpler methods to provide vapors of liquid reactants to a CVD or ALD chamber is by using a bubbler. A liquid reservoir is kept at a certain temperature, and a carrier gas is flowed through the liquid. Depending on the flow rate of the carrier gas, the vapor pressure of the liquid at a given temperature, and the total pressure in the bubbler a certain rate of vapor transport is achieved [229, p. 139]: Fliquid =

M liquid pp · · Fcarrier 22.4 l/mol p bubbler − p p

(A.1)

In eq. A.1, Fliquid and M liquid denote the mass flow and molecular weight of the liquid to be evaporated, while p p is the partial pressure of the liquid and p bubbler the total pressure in the bubbler. Fcarrier identifies the carrier gas flow. At the Varian Gartek system, a simple bubbler according to the scheme in Fig. A.3 is installed to evaporate liquids such as water or alcohols, which can withstand to be stored at elevated temperature for extended periods of time. The system comprises a mass flow controller for controlling the Ar carrier gas flow at the input side of the bubbler, the storage bottle, and a needle valve at the output side. A bypass line leading directly to the vacuum pump is in place to guarantee stable flow conditions during processing, especially when switching bubbler delivery on and off during the ALD cycles. The

162

A.2 Delivery of Precursors and Co-Reactants Argon

MFC Mass flow controller

N/O Vacuum

Chamber

N/C

Liquid Heated stock bottle

E

Valves: Manual two-way valve

Needle valve

Pneumatic two-way valve normally open (N/O), normally closed (N/C)

Figure A.3: Outline of the bubbler system.

stainless-steel pipes that lead from the bubbler output to the processing chamber need to be heated to a temperature at least as high as the bubbler temperature. Especially for evaporating metal precursors, two Bronkhorst liquid delivery systems as schematically shown in Fig. A.4 are available at the ALD equipment. In contrast to a bubbler system, the liquid reservoir is kept at room temperature. By applying an inert gas back pressure, the liquid is transported out of the stock bottle with a flow monitored by a liquid flow meter. In the mixing unit, a nozzle controls the dosage of the liquid to be mixed with carrier gas, whose flow rate is controlled by a mass flow controller. A blend of carrier gas with precursor droplets, or a thin precursor film on the heated pipes of the following evaporator unit, is formed. This device, essentially a heated coil

163

A ALD Equipment

Argon

Vacuum Vent

Nozzle

LFM

MFC

Liquid flow meter

Mass flow controller

Evaporator / Mixer

CEM

Valves: Manual two-way valve

N/O N/C

Manual three-way valve

Liquid

To deposition chamber (via heated line)

Pneumatic two-way valve normally open (N/O), normally closed (N/C)

Stock bottle

Figure A.4: Outline of the liquid delivery system.

of stainless-steel tubing, leads to evaporation of the liquid. Together with the hot carrier gas the vapors are transported to the deposition chamber via heated lines. Similar to the output side of the bubbler, these systems also feature bypass lines for adjusting a steady precursor flow rate. According to Riedel [229, p. 27], the rate of evaporation can be calculated by eq. A.2. Fliquid ≤

M liquid · Fcarrier pp · p tot − p p 22.4 l/mol

(A.2)

In this equation, p tot is the total pressure at the orifice of the evaporator, which is related to the pressure in the deposition chamber and the back pressure in the delivery line. For calculating p tot , Riedel [229, p. 28] presents eq. A.3. r p tot =

2p0 ·

8η · l · T · Fcarrier + p2chamber 4 π · r · 273.15 K

(A.3)

Here, p0 denotes the normal pressure of ∼ 1013 mbar, η is the viscosity of the carrier gas, and l and r are the length and inner radius of the delivery line. The temperature

164

A.2 Delivery of Precursors and Co-Reactants T denotes the evaporator temperature in Kelvin. The quantity p chamber is the chamber pressure.

165

A ALD Equipment

166

B Spectroscopic Ellipsometry B.1 Fundamentals of the Method As outlined in Sec. 3.3.2, spectroscopic ellipsometry is a non-destructive optical method to characterize materials with respect to their optical properties, i. e. their complex diA Quick Reminder electric function or complex refractive index. If thin films are considered, it is further possible to determine the film thickness.

P

φ linearly polarized

sample

elliptically polarized

~ principle of ellipsometry. ~ Figure B.1: The (Adapted from a presentation by S. Peters, E E p i (δ p −δ s ) p pr ~ SENTECH Instruments ~ srGmbH, Berlin, with permission.) rp = ~ Epi

; rs = ~ Esi

~ r r ~ ρ = ~ = ⋅e rs rs

An ellipsometric measurement is typically carried out as indicated by Fig. B.1. Light

= tan Ψ ⋅ e i∆

emitted by a laser beam or (monochromatized) light from a broad-band source is po1

larized in a defined way, such as linear, and incident to the sample under an angle of incidence φ. Interaction of the light with the sample causes portions of the beam to be absorbed, transmitted, and reflected off the sample surface. Depending on the optical properties and composition of the sample under investigation, that is, the complex re-

167

B Spectroscopic Ellipsometry fractive index of the material, as well as the thickness of any films present on the sample, the parallel and perpendicular components of the light wave, Ep and Es , are modified with respect to their amplitudes and phase according to Fresnel’s equations. In other words, the polarization state of the reflected beam will be altered as a consequence of the interaction with the sample. In the most general case, the reflected light is elliptically polarized, which also determines the name “ellipsometry”. With the known polarization of the incident beam, one is able to determine to what extent the polarization has

ry

changed. In the most simple case, a rotating analyzer is used for this purpose.

inder

ations:

~ cos φ1 − N1 cos φ2 ~ cos φ1 + N1 cos φ2 ~ cos φ1 − N 2 cos φ2 ~ cos φ1 + N 2 cos φ2

~ N1

~ N2

Figure B.2: Refraction and reflection of light at the surface of a bulk sample.

active index and dielectric "constant":

To illustrate the effects, the simple case of analyzing a bulk material will be con= n − ik sidered ⇔[223]:ε~ = iε 2 ε 1 +propagating A beam in a medium of the complex refractive index e1~= n1 +~i 2k1 is incident under an angle φ1 on a sample of the complex refractive N ε =N e2 = n2 + i k2 . Here, n denotes the real part of the complex refractive index, index N

while k represents its imaginary part or extinction coefficient. In the most simple case e1 = n1 = 1, k1 = 0. Upon interacwhen light enters the material from air or vacuum, N tion with the sample, a portion of the light is reflected under the same angle φ1 , while the other portion enters the material and is refracted according to Snell’s law under an angle φ2 . For the reflected beam, Fresnel’s equations determine the complex reflection coefficients e rp and e rs for the wave components parallel (p) and perpendicular (s) to the plane of incidence: e rp =

168

e2 cos φ1 − N e1 cos φ2 N e2 cos φ1 + N e1 cos φ2 N

(B.1)

B.2 Modeling Optical Properties

e rs =

e1 cos φ1 − N e2 cos φ2 N e1 cos φ1 + N e2 cos φ2 N

(B.2)

With respect to the quantities measured by ellipsometry, a complex ellipsometric parameter e ρ can be defined as the ratio of the two reflection coefficients: e ρ=

e rp e rs

(B.3)

This equation can be rewritten as follows, separating the absolute value and the phase difference of e rp and e rs , δp − δs : e rp i(δp −δs ) e ρ= e = tan Ψ ei∆ |e rs |

(B.4)

Eq. (B.4) expresses that the absolute value and the phase of the complex ratio e ρ are linked to the quantities Ψ and ∆ that are obtained as result of an ellipsometric measurement. Consequently, from the two values of Ψ and ∆ one is able to calculate back to the complex refractive index of an unknown bulk material, if light enters the sample from air or vacuum.

B.2 Modeling Optical Properties As outlined above, from the two quantities Ψ and ∆, which are obtained from an ellipsometric measurement, material properties of the sample studied can be calculated. Generally, from the two measured values it is only possible to calculate another two independent quantities. Those may be the real and imaginary parts of the complex refractive index if a bulk material is studied, or the film thickness and the real refractive index for a dielectric film on a known substrate, such as a silicon dioxide film on silicon. Furthermore, as the optical properties of any material are wavelength-dependent, a multitude of (Ψ, ∆) pairs needs to be measured for the complex optical function, which is the usual case in spectroscopic ellipsometry. However, even with several hundreds of independent measurement values, calculating the thickness of an unknown film at the same time as its complex refractive index function still means to calculate one quantity more than measured values are available. Therefore, the number of unknown variables needs to be reduced by creating mathematical models to describe the dielectric function, i. e., the spectral behavior of the complex refractive index of the material to be studied.

169

B Spectroscopic Ellipsometry Thereby the number of free parameters is reduced, opening up the possibility of obtaining the optical properties and film thickness at one time. This is especially of interest if film systems are considered where, for example, the thickness of more than one film in a layer stack must be determined in one measurement. In those cases an analytical calculation of this inverse problem is then no longer possible. Instead, numerical routines are applied that vary the model parameters in order to fit the spectra for Ψ and ∆, which can be calculated back from the model, to the measured spectra. Although the respective fitting routines are nowadays included in the analysis software that belongs to any commercial ellipsometers, understanding the physical effects and experience by the user are still required to a large extent in order to obtain reliable results. This is especially true if novel materials or thin films prepared under varying processing conditions are examined, since their properties often vary a lot and models that may be available in the literature or in the database of the analysis software cannot be readily applied in many cases. To evaluate the goodness of the fitting result, several quantities to describe the error between the measured and calculated spectra of Ψ and ∆ have been introduced [291, p. 263]. The one used by the SpectraRay 2 software is the mean squared error (MSE), which is calculated according to eq. (B.5). 2  2  N  1 exp exp mod mod MSE = + ∆k − ∆k ∑ Ψk − Ψ k 2N − M − 1 k=1

(B.5)

Here, N denotes the number of measured data points, that is, (Ψ, ∆) pairs in the fitted spectral range, while M denotes the number of fitting parameters. The quantities Ψexp and ∆exp denote the experimental values, while Ψmod and ∆mod are the quantities derived from the fitting model. However, the informative value of the MSE should not be overestimated. Normally, it is only useful to compare fitting results of similar data sets, i. e., similar number of spectra fitted together in the same spectral range. This is due to the fact that the MSE takes different values depending on the number of measurement points and the width of the spectrum which is fitted. Furthermore, eq. (B.5) points out that the MSE also depends on the actual value of each measured data point. This means that the MSE can take smaller or larger values depending on the absolute values of Ψ and ∆. These difference in the MSE could be misinterpreted as differences in the goodness of the fit,

170

B.2 Modeling Optical Properties although from a visual impression the fits would look similarly good. The same is true if different kinds of data sets are evaluated. For example, it is not uncommon to fit pairs of (tan Ψ, cos ∆) or the Fourier coefficients, i. e. the measured raw data, instead of Ψ and ∆ [291, p. 264]. Also in those cases the MSE takes different values depending on the kind of data set evaluated, even if exactly the same fit, that is, the same set of model parameters and same spectral range, are used. Therefore, a visual impression of the fitting result together with the MSE value is often a better basis for deciding if the fit is “good enough” than just the MSE alone.

B.2.1 The Cauchy Model A very simple approach to describe the optical function of a material is the Cauchy model [223]. The wavelength-dependent real refractive index is modeled as a polynomial expression with three parameters, n0 , n1 , and n2 , while λ denotes the wavelength: n (λ) = n0 +

n1 n2 + λ2 λ4

(B.6)

Although a similar expression also exists for modeling the imaginary part of the refractive index, the model usually delivers reliable results only for materials with only little or practically no absorption. This is mainly due to the fact that any equation trying to model the extinction coefficient would have no physical relation to eq. (B.6), since the Cauchy model is a purely mathematical approach. For real materials, however, the two parts of the complex dielectric function are not independent of each other, but are linked via an integral transform named the Kramers-Kronig relation [291, p. 124]. Therefore, when considering absorptive materials, models should be applied that obey the KramersKronig relation so that more physically reliable results for the real and imaginary part of the refractive index can be obtained. In the current work, the Cauchy model was therefore only applied to describe the properties of the thermal SiO2 films. Within the SpectraRay 2 software of the SE 850 ellipsometer utilized for the investigations in the current work, a slightly different representation of the Cauchy model than given by eq. (B.6) is used. As denoted by eq. (B.7), two constants C0 = 102 and C1 = 107 are introduced, in order to avoid too large values for n1 and n2 . n (λ) = n0 +C0

n1 n2 +C 1 λ2 λ4

(B.7)

171

B Spectroscopic Ellipsometry B.2.2 The Lorentz-Drude Model In contrast to the Cauchy approach, the Lorentz-Drude model uses a physical representation to describe the dispersion of the dielectric properties, so that it follows the KramersKronig relation and hence is more suited to describe absorptive behavior. Basically, the model describes the material properties as a superposition of harmonic oscillators, each of which being characterized by a center frequency Ω0 , a damping constant Ωτ and an oscillator strength Ωp . Since several representations of the equations for this model are found in the literature, in the following the form used in the SpectraRay 2 software is used. In this case, the software uses the model parameters in units of cm-1 rather than eV. Therefore, all quantities in eq. (B.8) also take values in cm-1 . In addition to the superposition of 1. . . n Lorentz oscillators, the Drude term describes the influence of free carriers, which is necessary for conductive films. This term adds another two model parameters: ωp as the plasma frequency and ωτ as another damping constant. In principle, the Drude term can be regarded as a Lorentzian oscillator with a center frequency of zero. Furthermore, a high-frequency dielectric constant ε∞ is added, so that the full representation of the complex dielectric function eε becomes: eε (ν) = ε∞ −

ω2p ν2 + i ωτ ν

n

+

∑ Ω2

k=1

Ω2pk

2 0k − ν − i Ωτk ν

(B.8)

In eq. (B.8), ν denotes the wavenumber.

B.2.3 The Tauc-Lorentz Model The Tauc-Lorentz model was introduced by Jellison and Modine [292, 293], and recently has successfully been applied to describe the dielectric function of a number of ALD-grown metal oxides [224]. It uses a modified oscillator model according to eq. (B.9), where E denotes the energy in eV. Here, only the imaginary part of the dielectric function, ε2 , is parameterized. Each oscillator contains four fitting parameters in units of energy, A, E0 , C, and Eg , whereby Eg can be interpreted as the band gap energy of the respective optical transition. The real part, ε1 , is then calculated by the Kramers-Kronig integral [292], whereby a further fitting parameter, ε∞ , is introduced.

172

B.2 Modeling Optical Properties

ε2 (E) = =

n A E C (E−E )2 k 0k k gk (E 2 −Eg2k )2 +Ck2 E 2 k=1



0

· E1

E > Eg k ,

(B.9)

E ≤ Eg k

B.2.4 The Leng-Lorentz Model Another oscillator model frequently used to parameterize the dielectric function of crystalline semiconductors such as Si or SiGe is the so-called Leng-Lorentz model. It was introduced by Leng et al. [294] and refines the simple Lorentz oscillator model in order to account for different line shapes and scaling of the absorption line broadening with energy, which is typical for crystalline semiconductors. From a mathematical point of view, the center frequency or critical point Ω0 of an individual oscillator [cf. eq. (B.8)] can be regarded as a pole in the complex plane of the dielectric function. According to Leng et al. [294], line shape and broadening can then be modeled by introducing a phase parameter β and the order of the pole µ. For use in the software SpectraRay 2, SENTECH further modified the formula by introducing a non-constant offset in the real part and a constant offset in the imaginary part of the dielectric function, so that finally eq. (B.10) results. n

ε(E)

=



C0 k h i βk ε∞ + ∑ e (Eg k − E − i Γk )µ k + e−i βk (Eg k + E + i Γk )µ k 2 E k=1 i −i βk µk −i βk µ k −1 −2 ℜ[e (Eg k + i Γk ) ] − 2 i µ k E ℑ[e (Eg k + i Γk ) ] +m0 E x0 + i k0

(B.10)

In this equation, E is the energy and Eg denotes the critical point, i. e. the band-gap energy of an absorptive transition, both in eV. The parameter C0 is the amplitude and Γ the broadening parameter of the respective transition. The operators ℜ and ℑ refer to real and imaginary parts. The last two terms represent SENTECH’s extension, introducing another three fitting parameters m0 , x0 , and k0 in addition to the high-frequency contribution ε∞ . While k0 and x0 are dimension-less, m0 takes units of the energy to the power of −x0 .

173

B Spectroscopic Ellipsometry

B.3 Substrate Models In the following sections, selected models to parameterize the dielectric function of the substrates used in this study are given.

B.3.1 Silicon To represent the dielectric function of the single crystalline (100) Si substrates used in this work, a four-oscillator Leng-Lorentz model available in the SpectraRay 2 software was used without modifications. The model parameters are given in Table B.1.

Table B.1: Leng-Lorentz model used for parameterizing the dielectric function of the Si substrates. ε∞ 0.3972 k 1 2 3 4

C0k 56.32 240.90 125.56 16.66

m0 -0.033029 βk -0.4589 -0.4110 0.3307 0.2816

x0 1.012892

Eg k (eV) 3.3800 3.6266 4.2906 5.3825

k0 0.0241

Γk 0.1150 0.3079 0.2030 0.2410

µk -0.8241 -0.3965 -0.9504 -0.9761

B.3.2 Silicon Dioxide Wet and dry thermal SiO2 films were described by Cauchy models according to Tables B.2 and B.3.

Table B.2: Cauchy parameters for 20 nm dry thermal SiO2 in the spectral range from 1.5 to 6.0 eV, with the model constants set to C0 = 102 and C1 = 107 . Film thickness (nm) 19.6

174

n0 1.514

n1 -11.8

n2 14.6

B.3 Substrate Models

Table B.3: Cauchy parameters for 300 nm dry thermal SiO2 in the spectral range from 1.5 to 6.0 eV, with the model constants set to C0 = 102 and C1 = 107 . Film thickness (nm) 295.8

n0 1.454

n1 35.2

n2 2.3

B.3.3 Tantalum Nitride To describe the 40 nm thick TaN barriers the ALD was carried out on, thicker films in the range of 75 to 380 nm were deposited and characterized by SE [295]. Because the films were opaque, any substrate influences could be neglected so that the films could be described as quasi-bulk materials, making it less cumbersome to derive adequate models. The Lorentz-Drude parameterizations of the dielectric function for those films were then used as starting points in the characterization of the 40 nm thick films. Prior to the ALD, any TaN wafer was characterized individually to generate adequate substrate models. However, due to the good reproducibility of the sputtering process, only minor variations of the model parameters were necessary. In this respect, Table B.4 lists the parameters of the Lorentz-Drude model for a typical TaN barrier layer used in this investigations. Fig. B.3 presents the respective dielectric function.

Table B.4: Parameters of the Lorentz-Drude model describing the dielectric properties of a typical ∼ 40 nm thick TaN film sputtered onto Si in the spectral range between 1.5 and 6.0 eV. Film thickness (nm) 38.7 k 1 2 3

Ω0 k (eV) 6.71 4.33 1.89

ε∞ 2.42

ωp (eV) 10.32

Ωp k (eV) 12.36 3.25 5.15

ωτ (eV) 6.29

Ωτ k (eV) 4.24 1.99 2.03

175

B Spectroscopic Ellipsometry

8

(a)

7 6 ε1

5 4 3 2 1 0 1

2

3 4 Photon energy (eV)

5

6

20

(b)

18

ε2

16 14 12 10 8 6 1

2

3 4 Photon energy (eV)

5

6

Figure B.3: Complex dielectric function of a ∼ 40 nm sputtered TaN film on Si derived from the Lorentz-Drude model given in Table B.4.

176

B.3 Substrate Models B.3.4 Ruthenium In contrast to the thermal SiO2 and the TaN films, which were not affected by the ALD processes with respect to their optical properties, the Ru underlayers underwent changes due to heat treatment. Therefore, different models were generated for the as-deposited films as well as for samples after heat treatment in similar processes as the ALD (Tables B.5 and B.6). Furthermore, due to the strongly columnar microstructure of the Ru films, anisotropic behavior was found when examining the layers under different angles of incidence, as seen from the spectra in Fig. B.4.

Table B.5: Parameters of the Lorentz-Drude model describing the dielectric properties of a 100 nm thick as-deposited Ru film in the spectral range from 1.5 to 6.0 eV. The ellipsometric measurement was carried out at 70° angle of incidence. ε∞ 1.29 k 1 2 3

Ω0 k (eV) 1.78 4.28 2.87

ωp (eV) 4.30

ωτ (eV) 0.47

Ωp k (eV) 3.60 13.79 4.25

Ωτ k (eV) 1.20 11.92 2.26

Table B.6: Parameters of the Lorentz-Drude model describing the dielectric properties of a 100 nm thick Ru film after heat treatment at 120°C for 60 min in Ar atmosphere at 1.3 mbar, i. e., under similar conditions as during ALD. The ellipsometric measurement was carried out at 70° angle of incidence in the spectral range from 1.5 to 6.0 eV. ε∞ 1.17 k 1 2 3

Ω0 k (eV) 1.80 3.77 2.80

ωp (eV) 4.60

ωτ (eV) 0.38

Ωp k (eV) 3.67 13.13 5.57

Ωτ k (eV) 1.04 12.31 2.45

177

ε1

B Spectroscopic Ellipsometry

5 4 3 2 1 0 -1 -2 -3 -4

as dep. 70° h.t. 70° h.t. 50°

1

2

3

4

5

6

ε2

Photon energy (eV) 20 18 16 14 12 10 8 6 4 2 0

as dep. 70° h.t. 70° h.t. 50°

1

2

3

4

5

6

Photon energy (eV)

Figure B.4: Complex dielectric function of a ∼ 100 nm evaporated Ru film on Si. The dielectric properties were measured from as-deposited (as dep.) films as well as after heat treatment (h.t.) for 60 min at 120°C in 1.3 mbar Ar, similar to the ALD processes. Furthermore, differences in the spectra are visible depending on the angle of incidence of the measurement, due to the columnar microstructure of the films [cf. Fig. 4.41 (p. 114)].

178

B.4 Models of the ALD Films

B.4 Models of the ALD Films In the following, the parameter sets of selected ellipsometry models of copper oxide films grown on different substrates are presented. B.4.1 Copper Oxide Grown on 20 nm Dry Thermal SiO2 Lorentz-Drude Models

The following Tables compile the model parameters of the spectra presented in Fig. 4.29 (p. 102).

Table B.7: Lorentz-Drude model for copper oxide films after 50 ALD cycles, 4 s precursor pulses, on 20 nm dry SiO2 . MSE 0.2105

Film thickness (nm) 1.7 k 1 2 3 4

Ω0 k (eV) 4.86 6.25 3.03 3.80

ε∞ 3.15

Ωp k (eV) 3.05 0.01 3.94 0.01

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 1.80 1.64 0.90 1.41

Table B.8: Lorentz-Drude model for copper oxide films after 200 ALD cycles, 4 s precursor pulses, on 20 nm dry SiO2 . MSE 0.1430

Film thickness (nm) 3.0 k 1 2 3

Ω0 k (eV) 4.44 5.51 2.51

ε∞ 2.99

Ωp k (eV) 2.55 3.70 1.94

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 0.97 1.57 0.83

179

B Spectroscopic Ellipsometry

Table B.9: Lorentz-Drude model for copper oxide films after 400 ALD cycles, 6 s precursor pulses, on 20 nm dry SiO2 . MSE 0.1906

Film thickness (nm) 4.8 k 1 2 3 4

Ω0 k (eV) 4.52 5.48 3.35 2.98

ε∞ 2.83

Ωp k (eV) 2.91 4.18 3.51 1.89

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 1.25 1.58 2.01 0.91

Table B.10: Lorentz-Drude model for copper oxide films after 800 ALD cycles, 4 s precursor pulses, on 20 nm dry SiO2 . MSE 0.1628

Film thickness (nm) 5.0 k 1 2 3 4

180

Ω0 k (eV) 3.04 5.51 4.80 4.47

ε∞ 2.91

Ωp k (eV) 3.12 3.77 2.72 2.41

ωp (eV) 0.00 Ωτ k (eV) 1.53 1.45 2.42 1.02

ωτ (eV) 0.00

B.4 Models of the ALD Films Tauc-Lorentz Models

The following Tables compile the parameters of the Tauc-Lorentz models whose spectra are presented in Fig. 4.32 (p. 106).

Table B.11: Tauc-Lorentz model for copper oxide films after 50 ALD cycles, 4 s precursor pulses, on 20 nm dry SiO2 . MSE 0.2104

Film thickness (nm) 1.6

ε1 ∞

k

Eg k (eV)

Ak (eV)

E0 k (eV)

Ck (eV)

1.58

1 2

1.75 2.41

1605.82 -492.78

3.53 5.16

71.41 24.66

Table B.12: Tauc-Lorentz model for copper oxide films after 400 ALD cycles, 6 s precursor pulses, on 20 nm dry SiO2 . MSE 0.2009

Film thickness (nm) 4.4

ε1 ∞

k

Eg k (eV)

Ak (eV)

E0 k (eV)

Ck (eV)

2.13

1 2

1.75 5.43

102.89 -159.57

3.19 5.61

7.26 0.91

Table B.13: Tauc-Lorentz model for copper oxide films after 800 ALD cycles, 4 s precursor pulses, on 20 nm dry SiO2 . MSE 0.1870

Film thickness (nm) 4.9

ε1 ∞

k

Eg k (eV)

Ak (eV)

E0 k (eV)

Ck (eV)

1.54

1 2

1.28 5.34

160.81 -243.28

11.71 5.46

65.99 1.96

181

B Spectroscopic Ellipsometry B.4.2 Copper Oxide Grown on 300 nm Wet Thermal SiO2 Lorentz-Drude Models

The following Tables compile the model parameters of the spectra presented in Fig. 4.30 (p. 103).

Table B.14: Lorentz-Drude model for copper oxide films after 200 ALD cycles, 4 s precursor pulses, on 300 nm wet SiO2 . MSE 0.0223

Film thickness (nm) 2.5 k 1 2

Ω0 k (eV) 5.01 3.68

ε∞ 2.44

Ωp k (eV) 7.15 2.01

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 1.99 0.35

Table B.15: Lorentz-Drude model for copper oxide films after 400 ALD cycles, 6 s precursor pulses, on 300 nm wet SiO2 . MSE 0.0181

Film thickness (nm) 3.4 k 1 2 3 4

182

Ω0 k (eV) 4.31 5.07 6.34 3.45

ε∞ 2.24

Ωp k (eV) 3.21 4.76 3.65 2.52

ωp (eV) 0.00 Ωτ k (eV) 1.09 1.32 0.91 0.45

ωτ (eV) 0.00

B.4 Models of the ALD Films

Table B.16: Lorentz-Drude model for copper oxide films after 600 ALD cycles, 4 s precursor pulses, on 300 nm wet SiO2 . MSE 0.0226

Film thickness (nm) 4.3 k 1 2

Ω0 k (eV) 4.97 3.65

ε∞ 2.59

Ωp k (eV) 6.56 2.16

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 2.18 0.50

Table B.17: Lorentz-Drude model for copper oxide films after 800 ALD cycles, 4 s precursor pulses, on 300 nm wet SiO2 . MSE 0.0356

Film thickness (nm) 5.4 k 1 2

Ω0 k (eV) 5.01 3.65

ε∞ 2.73

Ωp k (eV) 6.56 2.14

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 2.11 0.58

183

B Spectroscopic Ellipsometry Tauc-Lorentz Models

The following Tables compile the parameters of the Tauc-Lorentz models whose spectra are presented in Fig. 4.33 (p. 107).

Table B.18: Tauc-Lorentz model for copper oxide films after 200 ALD cycles, 4 s precursor pulses, on 300 nm wet SiO2 . MSE 0.0183

Film thickness (nm) 2.6

ε1 ∞

k

Eg k (eV)

Ak (eV)

E0 k (eV)

Ck (eV)

3.42

1 2

2.35 4.45

322.14 -216.37

2.81 8.29

10.05 10.01

Table B.19: Tauc-Lorentz model for copper oxide films after 400 ALD cycles, 4 s precursor pulses, on 300 nm wet SiO2 . MSE 0.0152

Film thickness (nm) 3.1

ε1 ∞

k

Eg k (eV)

Ak (eV)

E0 k (eV)

Ck (eV)

2.46

1 2

2.03 4.53

91.52 -174.54

4.39 5.79

7.66 8.29

Table B.20: Tauc-Lorentz model for copper oxide films after 800 ALD cycles, 4 s precursor pulses, on 300 nm wet SiO2 . MSE 0.0223

184

Film thickness (nm) 5.2

ε1 ∞

k

Eg k (eV)

Ak (eV)

E0 k (eV)

Ck (eV)

5.28

1 2

2.25 4.15

147.85 -152.05

3.23 13.54

5.97 10.05

B.4 Models of the ALD Films B.4.3 Copper Oxide Grown on 40 nm sputtered TaN The following Tables compile the parameters of the ellipsometric models to determine the thickness values of ALD films grown at 120°C with increasing number of ALD cycles (cf. Fig. 4.34 b, p. 108). The dielectric function of the films was parameterized using a Lorentz-Drude approach.

Table B.21: Lorentz-Drude model for copper oxide films after 100 ALD cycles, 4 s precursor pulses, on 40 nm TaN. MSE 0.1780

Film thickness (nm) 0.7 k 1 2 3

Ω0 k (eV) 0.00 3.94 11.99

ε∞ 1.05

Ωp k (eV) 1.74 1.54 5.74

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 0.92 0.91 7.14

Table B.22: Lorentz-Drude model for copper oxide films after 200 ALD cycles, 4 s precursor pulses, on 40 nm TaN. MSE 0.1556

Film thickness (nm) 1.4 k 1 2 3

Ω0 k (eV) 0.12 3.83 5.87

ε∞ 1.31

Ωp k (eV) 1.68 1.74 1.05

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 1.41 1.38 1.09

185

B Spectroscopic Ellipsometry

Table B.23: Lorentz-Drude model for copper oxide films after 400 ALD cycles, 4 s precursor pulses, on 40 nm TaN. MSE 0.2456

Film thickness (nm) 3.5 k 1 2 3

Ω0 k (eV) 3.14 5.95 9.92

ε∞ 1.00

Ωp k (eV) 1.25 0.80 3.37

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 1.41 0.92 0.15

Table B.24: Lorentz-Drude model for copper oxide films after 600 ALD cycles, 4 s precursor pulses, on 40 nm TaN. MSE 0.1786

Film thickness (nm) 5.2 k 1 2 3

Ω0 k (eV) 3.74 1.32 8.99

ε∞ 1.01

Ωp k (eV) 1.23 0.39 3.20

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 1.89 0.00 3.86

Table B.25: Lorentz-Drude model for copper oxide films after 800 ALD cycles, 4 s precursor pulses, on 40 nm TaN. MSE 0.2212

Film thickness (nm) 7.2 k 1 2 3

186

Ω0 k (eV) 3.18 5.93 8.15

ε∞ 1.00

Ωp k (eV) 1.34 0.77 2.70

ωp (eV) 0.00 Ωτ k (eV) 1.48 0.95 0.21

ωτ (eV) 0.00

B.4 Models of the ALD Films B.4.4 Copper Oxide Grown on 100 nm evaporated Ru Three Angles of Incidence

The following Tables compile the parameters of the ellipsometric models to determine the thickness values of ALD films grown at 120°C with increasing number of ALD cycles, using ellipsometric data at three angles of incidence, 50, 60, and 70°. The spectra of the dielectric function of the films are shown in Fig. 4.44 (p. 116), while the graph of the film thickness characteristic related to these ellipsometric measurements is displayed in Fig. 4.41 a (p. 114).

Table B.26: Lorentz-Drude model for copper oxide films after 50 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of three angles of incidence. MSE 0.1642

Film thickness (nm) 2.1 k 1

Ω0 k (eV) 0.02

ε∞ 2.19

Ωp k (eV) 12.25

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 4.02

Table B.27: Lorentz-Drude model for copper oxide films after 100 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of three angles of incidence. MSE 0.1375

Film thickness (nm) 3.6 k 1 2 3

Ω0 k (eV) 0.96 2.82 0.83

ε∞ 1.42

Ωp k (eV) 9.02 1.00 15.13

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 2.86 0.42 26.00

187

B Spectroscopic Ellipsometry

Table B.28: Lorentz-Drude model for copper oxide films after 200 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of three angles of incidence. MSE 0.1665

Film thickness (nm) 6.0 k 1 2 3

Ω0 k (eV) 2.77 1.58 0.02

ε∞ 1.20

Ωp k (eV) 3.94 4.07 18.31

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 1.83 0.56 16.07

Table B.29: Lorentz-Drude model for copper oxide films after 400 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of three angles of incidence. MSE 0.1254

Film thickness (nm) 8.2 k 1 2 3

Ω0 k (eV) 2.75 1.55 2.47

ε∞ 1.06

Ωp k (eV) 4.92 4.20 16.08

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 2.22 0.46 16.29

Table B.30: Lorentz-Drude model for copper oxide films after 600 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of three angles of incidence. MSE 0.1612

Film thickness (nm) 12.1 k 1 2 3

188

Ω0 k (eV) 2.87 1.00 2.39

ε∞ 1.17

Ωp k (eV) 1.46 8.29 16.06

ωp (eV) 0.00 Ωτ k (eV) 0.84 2.74 21.16

ωτ (eV) 0.00

B.4 Models of the ALD Films

Table B.31: Lorentz-Drude model for copper oxide films after 800 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of three angles of incidence. MSE 0.1239

Film thickness (nm) 18.0 k 1 2 3

Ω0 k (eV) 2.92 1.01 3.21

ε∞ 1.24

Ωp k (eV) 1.54 8.57 16.50

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 0.99 3.33 20.93

One Angle of Incidence

The following Tables compile the parameters of the ellipsometric models to determine the thickness values of ALD films grown at 120°C with increasing number of ALD cycles, using ellipsometric data at one angle of incidence (70°) and a model for the Ru substrate after heat treatment (cf. Table B.6, p. 177). The spectra of the dielectric function of the films are shown in Fig. 4.45 (p. 117), while the graph of the film thickness characteristic related to these ellipsometric measurements is displayed in Fig. 4.46 (p. 119). However, the models are only given for reference purposes and should not be considered physically reliable in every case, as data of only one incidence angle was processed.

Table B.32: Lorentz-Drude model for copper oxide films after 50 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of one angle of incidence. MSE 0.1544

Film thickness (nm) 1.0 k 1

Ω0 k (eV) 5.20

ε∞ 0.47

Ωp k (eV) 0.40

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 2.10

189

B Spectroscopic Ellipsometry

Table B.33: Lorentz-Drude model for copper oxide films after 100 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of one angle of incidence. MSE 0.0647

Film thickness (nm) 2.5 k 1

Ω0 k (eV) 5.01

ε∞ 0.50

Ωp k (eV) 3.93

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 5.34

Table B.34: Lorentz-Drude model for copper oxide films after 200 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of one angle of incidence. MSE 0.0680

Film thickness (nm) 4.8 k 1 2

Ω0 k (eV) 4.82 5.61

ε∞ 0.82

Ωp k (eV) 2.76 0.83

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 4.77 1.45

Table B.35: Lorentz-Drude model for copper oxide films after 400 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of one angle of incidence. MSE 0.0556

Film thickness (nm) 5.3 k 1 2

190

Ω0 k (eV) 0.99 5.82

ε∞ 0.89

Ωp k (eV) 1.19 3.57

ωp (eV) 0.00 Ωτ k (eV) 6.15 5.80

ωτ (eV) 0.00

B.4 Models of the ALD Films

Table B.36: Lorentz-Drude model for copper oxide films after 600 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of one angle of incidence. MSE 0.0511

Film thickness (nm) 3.7 k 1 2

Ω0 k (eV) 0.56 5.26

ε∞ 0.86

Ωp k (eV) 1.61 3.94

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 5.50 5.39

Table B.37: Lorentz-Drude model for copper oxide films after 800 ALD cycles, 4 s precursor pulses, on 100 nm Ru using data of one angle of incidence. MSE 0.0753

Film thickness (nm) 3.9 k 1 2

Ω0 k (eV) 0.15 4.99

ε∞ 0.85

Ωp k (eV) 0.16 3.04

ωp (eV) 0.00

ωτ (eV) 0.00

Ωτ k (eV) 0.00 3.95

191

B Spectroscopic Ellipsometry

192

C Thermodynamic Considerations

The goal of this Appendix is to provide a summary of thermodynamic estimations regarding the reactions studied in Chapter 5 for reducing ALD-grown copper oxide films. Sec. C.1 covers the necessary theory and develops the main equations used for the calculations. The following Sections present data for different reduction pathways. In this respect, not only the copper oxides Cu2 O and CuO are considered. Since diffusion barriers based on refractory metals such as Ta are also prone to oxidation, the calculations are extended to tantalum pentoxide (Ta2 O5 ) as a worst case. For a possible integration of the studied ALD processes and reduction methods into an interconnect metallization scheme, barrier oxidation is a major concern, since it negatively impacts both the overall resistance of interconnect lines and vias in particular, while also deteriorating the interface towards Cu and thus the reliability of the metallization system. Reduction processes for ruthenium oxide, eventually present at a Ru underlayer, are considered afterwards. Although not all of the reduction processes studied with Ta or TaN underlayers were applied to Ru substrates, the calculations are carried out for completeness. Nevertheless, with Ru being a noble metal, its oxides are easily reduced by any of the methods considered here. In the final Section, the material property data used for the calculations are tabulated.

C.1 Theoretical Background While thermodynamics does not make any predictions with respect to reaction kinetics, such as reaction rates or activation energy, it allows to estimate in which direction a chemical reaction preferably proceeds. The principal quantity to be considered in this respect is the Gibbs free energy, sometimes also referred to as free enthalpy, G. At any given pressure p and temperature T , G(p, T ) of a reaction partner can be expressed as

193

C Thermodynamic Considerations the value at standard conditions p0 and T0 , corrected by a difference ∆G: G(p, T ) = G(p0 , T0 ) + ∆G

(C.1)

With the Gibbs-Helmholtz equation [142, p. 158] G = H −T S ,

(C.2)

where H represents the enthalpy of formation and S the entropy, one obtains ∆G = ∆H − T ∆S .

(C.3)

Eq. (C.4) delivers an expression for ∆H, whereby U is the internal energy and pV represents pressure-volume work of the system. While the latter is significant in case of gases, it can be neglected for solids. ∆H = ∆U + ∆(pV )

(C.4)

Inserting eq. (C.4) into eq. (C.3) and further into the Gibbs-Helmholtz equation (C.2) yields G(p, T ) = H(p0 , T0 ) − T S(p0 , T0 ) + ∆U + ∆(pV ) − T ∆S .

(C.5)

In case of reactions taking place at constant pressure, ∆S can be expressed as in the following equation [142, p. 129]. ∆S =

Z T C p (T )

T

T0

dT

(C.6)

For C p (T ), polynomial expressions [142, p. 71] can be found for many substances in thermodynamic databases and table collections. The pressure-volume work ∆(pV ) of an ideal gas is given by ∆(pV ) = −

Z V

p(V ) dV .

(C.7)

V0

With the ideal gas law pV = n R T (R = 8.31451 J K−1 mol−1 , gas constant), whereby for a molar consideration with n = 1 the integral in eq. C.7 can be solved, so that ∆(pV ) = −R T ln

194

V V0

(C.8)

C.1 Theoretical Background is obtained. After an additional transformation using the ideal gas law, eq. (C.8) can be rewritten as ∆(pV ) = R T ln

p . p0

(C.9)

The change of internal energy ∆U can be expressed as the heat added or removed from the system [142, p. 71]. In case of constant pressure and a non-constant heat capacity, Z T

∆U = T0

C p (T ) dT .

(C.10)

Inserting eqs. (C.6), (C.9), and (C.10) into eq. (C.5) then leads to the final expression of the Gibbs free energy for a reaction partner at a pressure p and temperature T : G(p, T )

=

H(p0 , T0 ) − T S(p0 , T0 ) + −T

Z T C p (T ) T0

T

Z T T0

dT + R T ln

C p (T ) dT

p . p0

(C.11)

In order to estimate into which direction a given reaction preferably proceeds, one has to compare the values of the Gibbs free energy of the reaction products (P) with the ones of the educts (E). By doing so, the Gibbs free energy of the reaction, ∆R G, is obtained. Considering the general reaction ν1 E1 + ν2 E2 + ν3 E3 + · · · + νn En µ1 P1 + µ2 P2 + µ3 P3 + · · · + µm Pm

(C.12)

with the molar quantities of the educts, νi , and products, µ j , ∆R G is given by [142, p. 262] m

∆R G =

n

∑ µ j GP j (p, T ) − ∑ νi GEi (p, T )

j=1

(C.13)

i=1

If ∆R G < 0, the forward reaction is preferred. For ∆R G > 0, the backward reaction preferably takes place. In this respect, it must be noted that these considerations are made under the assumption of equilibrium conditions. In reality, however, for example during ALD, CVD, or other gas phase processes, such as thermal or plasma-based reduction reactions, the chemical equilibrium is severely disturbed by a constant delivery of educts and removal of reaction products at the same time. Therefore, the information gained from calculating ∆R G is limited, not least also due to the fact that the calculations do not take into account reaction kinetics.

195

C Thermodynamic Considerations

C.2 Pathways for the Reduction of Copper Oxide

0 -10 -20 -30 -40 -50 -60 -70 -80 -90

Cu2 O (s) + H2 (g) → 2 Cu (s) + H2 O (g)

(C.14)

CuO (s) + H2 (g) → Cu (s) + H2 O (g)

(C.15)

Cu2 O (s) + 2 H (g) → 2 Cu (s) + H2 O (g)

(C.16)

CuO (s) + 2 H (g) → Cu (s) + H2 O (g)

(C.17)

0 Cu2O with H2

-500

CuO with H 2

∆RG (kJ/mol)

∆RG (kJ/mol)

Molecular and Atomic Hydrogen

-1000 -1500 -2000 Cu2O with H

-2500

CuO with H

(a)

(b)

-3000 0

100

200

300

Temperature (°C)

400

500

0

100

200

300

400

500

Temperature (°C)

Figure C.1: Change of Gibbs free energy ∆R G for copper oxide reduction with (a) molecular and (b) atomic hydrogen according to eqs. (C.14)-(C.17). The reactions with H2 have been calculated at atmospheric pressure, while the calculations for the plasma processes with H were carried out at a pressure of 0.13 mbar (0.1 torr), representing the conditions of the respective processes discussed in Chapter 5.

Organic Reducing Agents

Cu2 O (s) + C2 H5 OH (g) → 2 Cu (s) + H2 O (g) + CH3 COH (g) CuO (s) + C2 H5 OH (g) → Cu (s) + H2 O (g) + CH3 COH (g)

196

(C.18) (C.19)

Cu2 O (s) + (CH3 )2 HCOH (g) → 2 Cu (s) + H2 O (g) + (CH3 )2 CO (g)

(C.20)

CuO (s) + (CH3 )2 HCOH (g) → Cu (s) + H2 O (g) + (CH3 )2 CO (g)

(C.21)

C.3 Pathways for the Reduction of Tantalum Oxide Cu2 O (s) + HCOOH (g) → 2 Cu (s) + H2 O (g) + CO2 (g)

(C.22)

CuO (s) + HCOOH (g) → Cu (s) + H2 O (g) + CO2 (g)

(C.23)

300

∆RG (kJ/mol)

100

∆RG (kJ/mol)

Ethanol Isopropanol Formic Acid

200 0 -100 -200 -300 -400

(a)

-500 0

100

200

300

400

Temperature (°C)

500

400 300 200 100 0 -100 -200 -300 -400 -500

Ethanol Isopropanol Formic Acid

(b) 0

100

200

300

400

500

Temperature (°C)

Figure C.2: Change of Gibbs free energy ∆R G for the reduction of (a) Cu2 O and (b) CuO with organic reducing agents according to eqs. (C.18)-(C.23). The reactions have been calculated at a pressure of 1.3 mbar (1 torr), representing the conditions of the respective processes discussed in Chapter 5.

C.3 Pathways for the Reduction of Tantalum Oxide Molecular and Atomic Hydrogen

Ta2 O5 (s) + 5 H2 (g) → 2 Ta (s) + 5 H2 O (g)

(C.24)

Ta2 O5 (s) + 10 H (g) → 2 Ta (s) + 5 H2 O (g)

(C.25)

Organic Reducing Agents

Ta2 O5 (s) + 5 C2 H5 OH (g) → 2 Ta (s) + 5 H2 O (g) + 5 CH3 COH (g)

(C.26)

Ta2 O5 (s) + 5 (CH3 )2 HCOH (g) → 2 Ta (s) + 5 H2 O (g) + 5 (CH3 )2 CO (g)

(C.27)

Ta2 O5 (s) + 5 HCOOH (g) → 2 Ta (s) + 5 H2 O (g) + 5 CO2 (g)

(C.28)

197

C Thermodynamic Considerations 1500

∆RG (kJ/mol)

1000 500 0 -500

0

100

200

300

400

500

-1000 Ta2O5 with H 2 Ta2O5 with H

-1500 -2000

Temperature (°C)

Figure C.3: Change of Gibbs free energy ∆R G for the reduction of Ta2 O5 with molecular and atomic hydrogen according to eqs. (C.24) and (C.25). The reaction with H2 has been calculated at atmospheric pressure, while the calculation for the plasma process with H was carried out at a pressure of 0.13 mbar (0.1 torr), representing the conditions of the respective processes discussed in Chapter 5.

2000 Ethanol Isopropanol Formic Acid

∆RG (kJ/mol)

1500 1000 500 0 -500 -1000 0

100

200

300

400

500

Temperature (°C)

Figure C.4: Change of Gibbs free energy ∆R G for the reduction of Ta2 O5 with organic reducing agents according to eqs. (C.26)-(C.28). The reactions have been calculated at a pressure of 1.3 mbar (1 torr), representing the conditions of the respective processes discussed in Chapter 5.

198

C.4 Pathways for the Reduction of Ruthenium Oxide

C.4 Pathways for the Reduction of Ruthenium Oxide Molecular and Atomic Hydrogen

RuO2 (s) + 2 H2 (g) → Ru (s) + 2 H2 O (g)

(C.29)

RuO2 (s) + 4 H (g) → Ru (s) + 2 H2 O (g)

(C.30)

0

∆RG (kJ/mol)

-200 -400 -600 -800 -1000

RuO2 with H 2

-1200

RuO2 with H

-1400 0

100 200 300 400 Temperature (°C)

500

Figure C.5: Change of Gibbs free energy ∆R G for the reduction of RuO2 with molecular and atomic hydrogen according to eqs. (C.29) and (C.30). The reaction with H2 has been calculated at atmospheric pressure, while the calculation for the plasma process with H was carried out at a pressure of 0.13 mbar (0.1 torr), representing the conditions of the respective processes discussed in Chapter 5 for substrates with TaN and Ta/TaN diffusion barriers.

Organic Reducing Agents

RuO2 (s) + 2 C2 H5 OH (g) → Ru (s) + 2 H2 O (g) + 2 CH3 COH (g)

(C.31)

RuO2 (s) + 2 (CH3 )2 HCOH (g) → Ru (s) + 2 H2 O (g) + 2 (CH3 )2 CO (g)

(C.32)

RuO2 (s) + 2 HCOOH (g) → Ru (s) + 2 H2 O (g) + 2 CO2 (g)

(C.33)

199

C Thermodynamic Considerations 500 Ethanol Isopropanol Formic Acid

∆RG (kJ/mol)

250 0 -250 -500 -750 -1000 0

100

200

300

400

500

Temperature (°C)

Figure C.6: Change of Gibbs free energy ∆R G for the reduction of RuO2 with organic reducing agents according to eqs. (C.31)-(C.33). The reactions have been calculated at a pressure of 1.3 mbar (1 torr), representing the conditions of the respective processes discussed in Chapter 5.

C.5 Material Property Data Used for the Calculations The following Tables C.1 and C.2 arrange the thermochemical material properties used for the calculations. In this respect, the function for the heat capacity at constant pressure, C p (T ), is parameterized according to C p (T ) = a + b · 10−3 · T + c · 106 · T −2 + d · 10−6 · T 2

(C.34)

in Table C.1, while in Table C.2, the polynomial expression C p (T ) T2 T3 T4 T = A1 + A2 · + A3 · 2 + A4 · 3 + A5 · 4 K K K K J K−1 mol−1 is used.

200

(C.35)

Cu (s) CuO (s) Cu2 O (s) Ru (s) RuO2 (s) Ta (s) Ta2 O5 (s) CO2 (g) H (g) H2 (g) H2 O (g)

Substance

H(p0 ,T0 ) (J mol-1 ) 0 -156059 -170711 0 -305013 0 -2045976 -393521 217965 0 -241856

S(p0 ,T0 ) (J K-1 mol-1 ) 33.162 42.589 92.362 28.535 58.576 41.505 143.093 213.794 114.717 130.679 118.824

a (J mol-1 ) 20.531 48.597 58.199 22.234 76.630 24.970 165.958 51.128 20.786 26.882 34.376 K-1

b (J mol-1 ) 8.611 7.427 23.974 4.130 10.795 3.063 17.928 4.368 0 3.586 7.841 K-2

c (J K mol-1 ) 0.155 -0.761 -0.159 0.038 -2.414 -0.050 -3.540 -1.469 0 0.105 -0.423

d (J mol-1 ) 0 0 0 1.607 0 0 0 0 0 0 0 K-3

Table C.1: Thermochemical properties of selected inorganic substances.

[296, p. 579] [296, p. 602] [296, p. 601] [297, p. 1696] [297, p. 1702] [297, p. 1943] [297, p. 1966] [296, p. 309] [296, p. 804] [296, p. 803] [296, p. 811]

References

C.5 Material Property Data Used for the Calculations

201

C Thermodynamic Considerations

Substance Acetaldehyde CH3 COH (g) Acetone (CH3 )2 CO (g) Ethanol C2 H5 OH (g) Formic Acid HCOOH (g) Isopropanol (CH3 )2 HCOH (g)

7.7199

A1

0.18206

A2

-1.0042 × 10−4

A3

2.3615 × 10−8

A4

6.1935 × 10−14

A5

[298, p. 387]

References

Table C.2: Thermochemical properties of selected organic substances. S(p0 ,T0 ) (J K-1 mol-1 ) 264.329

[298, p. 389]

H(p0 ,T0 ) (J mol-1 ) -166356

-6.1776 × 10−14

0 1.5412 × 10−9

7.9794 × 10−11

[298, p. 383] [299, p. 90] [299, p. 147] [299, p. 90] [299, p. 152]

-3.8962 × 10−8 -8.4011 × 10−5

-1.9288 × 10−7

0

-1.9211 × 10−5

0.21399

1.2443 × 10−4

3.4142 × 10−8

0.19910

8.9991

0.05715

-2.0062 × 10−4

17.85

282.697

22.06

0.35409

295.040

-234806

248.848

0.63

-217568

-378600

309.2

[298, p. 390] [299, p. 90]

-272800

202

Bibliography [1] M. Riordan and L. Hoddeson, Crystal Fire. The Invention of the Transistor and the Birth of the Information Age, W. W. Norton & Company Ltd., New York, London, 1998. [2] R. G. Arns, “The other transistor: early history of the metal-oxide-semiconductor field-effect transistor”, Engineering Science and Education Journal 7(5), 233–240, 1998. [3] K. Maex, M. R. Baklanov, D. Shamiryan, F. Iacopi, S. H. Brongersma, and Z. S. Yanovitskaya, “Low dielectric constant materials for microelectronics”, J. Appl. Phys. 93(11), 8793–8841, 2003. [4] S. P. Murarka and S. W. Hymes, “Copper metallization for ULSI and beyond”, Crit. Rev. Solid-State Mat. Sci. 20(2), 87–124, 1995. [5] P. C. Andricacos, C. Uzoh, J. O. Dukovic, J. Horkans, and H. Deligianni, “Damascene copper electroplating for chip interconnections”, IBM J. Res. Develop. 42(5), 567–574, 1998. [6] R. Rosenberg, D. C. Edelstein, C.-K. Hu, and K. P. Rodbell, “Copper Metalllization for High Performance Silicon Technology”, Annu. Rev. Mater. Sci. 30, 229–262, 2000. [7] A. E. Kaloyeros and E. Eisenbraun, “Ultrathin Diffusion Barriers/Liners for Gigascale Copper Metallization”, Annu. Rev. Mater. Sci. 30, 363–385, 2000. [8] A. A. Istratov and E. R. Weber, “Physics of Copper in Silicon”, J. Electrochem. Soc. 149(1), G21–G30, 2002. [9] D. Edelstein, C. Uzoh, C. C. Jr., P. DeHaven, P. Buchwalter, A. Simon, et al., “A High Performance Liner for Copper Damascene Interconnects”, in IEEE 2001 International Interconnect Technology Conference (IITC 2001). 9–11, 2001. [10] B. Li, T. D. Sullivan, T. C. Lee, and D. Badami, “Reliability challenges for copper interconnects”, Microelectron. Reliab. 44, 365–380, 2004. [11] SEMATECH, SIA, TSIA, KSIA, JEITA, and ESIA, eds., ITRS - The International Technology Roadmap for Semiconductors, 2007 Edition and 2008 Update (http://www.itrs. net/reports.html). [12] C. H. Goodman and M. V. Pessa, “Atomic layer epitaxy”, J. Appl. Phys. 60(3), R65–R81, 1986. [13] T. Suntola, “Atomic Layer Epitaxy”, Mater. Sci. Rep. 4, 261–312, 1989. [14] T. Suntola, “Atomic layer epitaxy”, Thin Solid Films 216, 84–89, 1992. [15] S. M. George, A. W. Ott, and J. W. Klaus, “Surface Chemistry for Atomic Layer Growth”, J. Phys. Chem. 100, 13121–13131, 1996.

203

Bibliography [16] L. Niinistö, “Atomic layer epitaxy”, Curr. Opin. Solid State Mater. Sci. 3, 147–152, 1998. [17] M. Ritala and M. Leskelä, “Atomic Layer Deposition”, in Handbook of Thin Film Materials. Vol. 1 – Deposition and Processing of Thin Films. H. S. Nalwa, ed., 103–159, Academic Press, San Diego et al., 2002. [18] S. Haukka, I. Raaijmakers, K.-E. Elers, J. Kostamo, W.-M. Li, H. Sprey, P. J. Soininen, and M. Tuominen, “Deposition of Cu barrier and seed layers with atomic layer control”, in Proc. IEEE 2002 International Interconnect Technology Conference (IITC 2002). 279–281, 2002. [19] U. Weber, M. Schumacher, J. Lindner, O. Boissière, P. Lehnen, S. Miedl, P. K. Baumann, G. Barbar, C. Lohe, and T. McEntee, “AVD technology for deposition of next generation devices”, Microelectron. Reliab. 45, 945–948, 2005. [20] M. Schumacher, P. K. Baumann, and T. Seidel, “AVD and ALD as Two Complementary Technology Solutions for Next-Generation Dielectric and Conductive Thin-Film Processing”, Chem. Vap. Deposition 12, 99–108, 2006. [21] M. LaPedus, “ALD takes one step forward, one step back”, EETimes online , 08/10/2006 (http://www.eetimes.eu/191901291). [22] L. Peters, “Copper barriers hold up under stress”, Semicond. Int. 30(11), 51–56, 2007. [23] A. Shevjakov, G. Kusnetsowa, and W. Aleskovskii, “Interactions of the tetrachlorides of titanium and germanium with hydrated silicon oxide”, in Chemistry of High-Temperature Materials. Proceedings, 2nd USSR Conference on High-Temperature Chemistry of Oxides (26-29 Nov. 1965). 149–155, Nauka, Leningrad, 1967. [24] T. Suntola and M. J. Antson, “Method for producing compound thin films”, Patent, US 4,058,430, 1977. [25] R. L. Puurunen and W. Vandervorst, “Island growth as a growth mode in atomic layer deposition: A phenomenological model”, J. Appl. Phys. 96(12), 7686–7694, 2004. [26] J. O. Antson, S. G. Lindfors, A. J. Pakkala, J. I. Skarp, T. S. Suntola, and M. A. Ylilammi, “Thin film electroluminescence structure”, Patent, US 4,416,933, 1983. [27] S. G. Lindfors, J. O. Antson, R. Graeffe, A. J. Pakkala, and T. S. Suntola, “Electroluminescence Structure”, Patent, US 4,488,084, 1984. [28] J. I. Skarp, “Combination film, in particular for thin film electroluminescent structures”, Patent, US 4,486,487, 1984. [29] Y. Nasu, K. Okamoto, J. Watanabe, T. Endo, and S. Soeda, “Method of manufacturing active matrix display device using insulation layer formed by the ALE method”, Patent, US 5,374,570, 1994. [30] L. Niinistö, M. Ritala, and M. Leskelä, “Synthesis of oxide thin films and overlayers by atomic layer epitaxy for advanced applications”, Mater. Sci. Eng. B 41, 23–29, 1996. [31] A. Usui, “Atomic Layer Epitaxy of III-V Compounds: Chemistry and Applications”, Proc. IEEE 80(10), 1641–1653, 1992.

204

Bibliography [32] S. M. Bedair, M. A. Tischler, T. Katsuyama, and N. A. El-Masry, “Atomic layer epitaxy of III-V binary compounds”, Appl. Phys. Lett. 47(1), 51–53, 1985. [33] S. P. DenBaars, C. A. Beyler, A. Hariz, and P. D. Dapkus, “GaAs/AlGaAs quantum well lasers with active regions grown by atomic layer epitaxy”, Appl. Phys. Lett. 51(19), 1530– 1532, 1987. [34] S. M. Bedair, B. T. McDermott, Y. Ide, N. J. Karam, H. Hashemi, M. A. Tischler, M. Timmons, J. C. L. Tarn, and N. El-Masry, “Recent progress in atomic layer epitaxy of III-V compounds”, J. Cryst. Growth 93, 182–189, 1988. [35] R. Bhat, J. R. Hayes, E. Colas, and R. Esagui, “Atomic Layer Epitaxy Grown Heterojunction Bipolar Transistor Having a Carbon-Doped Base”, IEEE Electron Device Lett. 9(9), 442– 443, 1988. [36] E. Colas, R. Bhat, B. J. Skromme, and G. C. Nihous, “Atomic layer epitaxy of device quality GaAs”, Appl. Phys. Lett. 55(26), 2769–2771, 1989. [37] S. P. DenBaars and P. D. Dapkus, “Atomic Layer Epitaxy of Compound Semiconductors with Metalorganic Precursors”, J. Cryst. Growth 98, 195–208, 1989. [38] J. M. Heitzinger, J. M. White, and J. G. Ekerdt, “Mechanisms of GaAs atomic layer epitaxy: a review of progress”, Surf. Sci. 299-300, 892–908, 1994. [39] K. Mukai, N. Ohtsuka, and M. Sugawara, “High photoluminescence efficiency of InGaAs/GaAs quantum dots self-formed by atomic layer epitaxy technique”, Appl. Phys. Lett. 70(18), 2416–2418, 1997. [40] Y. Sakuma, K. Kodama, and M. Ozeki, “Atomic layer epitaxy of GaP and elucidation for self-limiting mechanism”, Appl. Phys. Lett. 56(9), 827–829, 1990. [41] B. T. McDermott, K. G. Reid, N. A. El-Masry, S. M. Bedair, W. M. Duncan, X. Yin, and F. H. Pollak, “Atomic layer epitaxy of GaInP ordered alloy”, Appl. Phys. Lett. 56(12), 1172– 1174, 1990. [42] H. Shigematsu, T. Iwai, Y. Matsumiya, H. Ohnishi, O. Ueda, and T. Fujii, “Ultrahigh fT and fmax New Self-Alignment InP/InGaAs HBTs with a Highly Be-doped Base Layer Grown by ALE/MOCVD”, IEEE Electron Device Lett. 16(2), 55–57, 1995. [43] K. S. Boutros, F. G. McIntosh, J. C. Roberts, S. M. Bedair, E. L. Piner, and N. A. El-Masry, “High quality InGaN films by atomic layer epitaxy”, Appl. Phys. Lett. 67(13), 1856–1858, 1995. [44] T. Inushima, T. Shiraishi, and V. Y. Davydov, “Phonon structure of InN grown by atomic layer epitaxy”, Solid State Commun. 110, 491–495, 1999. [45] J. Zhang, E. Kuokstis, Q. Fareed, H. Wang, J. Yang, G. Simin, M. A. Khan, R. Gaska, and M. Shur, “Pulsed atomic layer epitaxy of quaternary AlInGaN layers”, Appl. Phys. Lett. 79(7), 925–927, 2001. [46] J. P. Zhang, M. A. Khan, W. H. Sun, H. M. Wang, C. Q. Chen, Q. Fareed, E. Kuokstis, and J. W. Wang, “Pulsed atomic-layer epitaxy of ultrahigh-quality Alx Ga1-x N structures for deep ultraviolet emissions below 230 nm”, Appl. Phys. Lett. 81(23), 4392–4394, 2002.

205

Bibliography [47] N. H. Karam, T. Parodos, P. Colter, D. McNulty, W. Rowland, J. Schetzina, N. El-Masry, and S. M. Bedair, “Growth of device quality GaN at 550°C by atomic layer epitaxy”, Appl. Phys. Lett. 67(1), 94–96, 1995. [48] M. Tammenmaa, H. Antson, M. Asplund, L. Hiltunen, M. Leskelä, L. Niinistö, and E. Ristolainen, “Alkaline earth sulfide thin films grown by atomic layer epitaxy”, J. Cryst. Growth 84, 151–154, 1987. [49] L. Niinistö and M. Leskelä, “Atomic layer epitaxy in the growth of complex thin film structures for electroluminescent applications”, Appl. Surf. Sci. 82/83, 454–459, 1994. [50] J. M. Hartmann, G. Feuillet, M. Charleux, and H. Mariette, “Atomic layer epitaxy of CdTe and MnTe”, J. Appl. Phys. 79(6), 3035–3041, 1996. [51] J. M. Hartmann, J. Cibert, F. Kany, H. Mariette, M. Charleux, P. Alleysson, R. Langer, and G. Feuillet, “CdTe/MgTe heterostructures: Growth by atomic layer epitaxy and determination of MgTe parameters”, J. Appl. Phys. 80(11), 6257–6265, 1996. [52] M. Ritala, “Advanced ALE processes of amorphous and polycrystalline films”, Appl. Surf. Sci. 112, 223–230, 1997. [53] M. Tiitta and L. Niinistö, “Volatile Metal β-Diketonates: ALE and CVD Precursors for Electroluminescent Device Thin Films”, Chem. Vap. Deposition 3(4), 167–182, 1997. [54] J. Ihanus, M. Ritala, M. Leskelä, E. Soininen, W. Park, A. E. Kaloyeros, W. Harris, K. W. Barth, A. W. Topol, T. Sajavaara, and J. Keinonen, “Blue- and green-emitting SrS:Cu electroluminescent devices deposited by the atomic layer deposition technique”, J. Appl. Phys. 94(6), 3862–3868, 2003. [55] J. Ihanus, E. Lambers, P. H. Holloway, M. Ritala, and M. Leskelä, “XPS and electroluminescence studies on SrS1-x Sex and ZnS1-x Sex thin films deposited by atomic layer deposition technique”, J. Cryst. Growth 260, 440–446, 2004. [56] J. Ihanus, T. Hänninen, T. Hatanpää, M. Ritala, and M. Leskelä, “Electroluminescent SrS and BaS Thin Films Deposited by ALD Using Cyclopentadienyl Precursors”, J. Electrochem. Soc. 151(10), H221–H225, 2004. [57] E. Guziewicz, M. Godlewski, K. Kopalko, E. Lusakowska, E. Dynowska, M. Guziewicz, M. M. Godlewski, and M. Phillips, “Atomic layer deposition of thin films of ZnSe – structural and optical characterization”, Thin Solid Films 446, 172–177, 2004. [58] M. D. Groner, J. W. Elam, F. H. Fabreguette, and S. M. George, “Electrical characterization of thin Al2 O3 films grown by atomic layer deposition on silicon and various metal substrates”, Thin Solid Films 413, 186–197, 2002. [59] E. Vainonen-Ahlgren, E. Tois, T. Ahlgren, L. Khriachtchev, J. Marles, S. Haukka, and M. Tuominen, “Atomic layer deposition of hafnium and zirconium silicate thin films”, Comput. Mater. Sci. 27, 65–69, 2003. [60] L. Niinistö, J. Päiväsaari, J. Niinistö, M. Putkonen, and M. Nieminen, “Advanced electronic and optoelectronic materials by Atomic Layer Deposition: An overview with special emphasis on recent progress in processing of high-k dielectrics and other oxide materials”, phys. stat. sol. (a) 201(7), 1443–1452, 2004.

206

Bibliography [61] Z. M. Rittersma, F. Roozeboom, M. A. Verheijen, J. G. M. van Berkum, T. Dao, J. H. M. Snijders, E. Vainonen-Ahlgren, E. Tois, M. Tuominen, and S. Haukka, “HfSiO4 Dielectric Layers Deposited by ALD Using HfCl4 and NH2 (CH2 )3 Precursors”, J. Electrochem. Soc. 151, C716–C722, 2004. [62] K. Kukli, M. Ritala, M. Leskelä, T. Sajavaara, J. Keinonen, A. C. Jones, and N. L. Tobin, “Atomic Layer Deposition of Hafnium Dioxide Films from Hafnium Hydroxylamide and Water”, Chem. Vap. Deposition 10(2), 91–96, 2004. [63] A. C. Jones, H. C. Aspinall, P. R. Chalker, R. J. Potter, K. Kukli, A. Rahtu, M. Ritala, and M. Leskelä, “Recent developments in the MOCVD and ALD of rare earth oxides and silicates”, Mater. Sci. Eng. B 118, 97–104, 2005. [64] M. Leskelä, K. Kukli, and M. Ritala, “Rare-earth oxide thin films for gate dielectrics in microelectronics”, J. Alloys Compd. 418, 27–34, 2006. [65] M. Gutsche, H. Seidl, T. Hecht, S. Kudelka, and U. Schroeder, “Atomic Layer Deposition for Advanced DRAM Applications”, Future Fab International 15, 2003. [66] S. Jakschik, U. Schroeder, T. Hecht, G. Dollinger, A. Bergmaier, and J. W. Bartha, “Physical properties of ALD-Al2 O3 in a DRAM-capacitor equivalent structure comparing interfaces and oxygen precursors”, Mater. Sci. Eng. B 107, 251–254, 2004. [67] E. Gerritsen, N. Emonet, C. Caillat, N. Jourdan, M. Piazza, D. Fraboulet, B. Boeck, A. Berthelot, S. Smith, and P. Mazoyer, “Evolution of materials technology for stackedcapacitors in 65 nm embedded DRAM”, Solid-State Electron. 49, 1767–1775, 2005. [68] K. Kim, “Technology for sub-50 nm DRAM and NAND Flash Manufacturing”, in IEEE International Electron Devices Meeting 2005 (IEDM 2005), Tech. Digest. 323–326, 2005. [69] T. Seidel, J. Dalton, Z. Karim, and J. Lindner, “Advances in Atomic Level Deposition Technologies”, in Proc. 8th International Conference on Solid-State and Integrated Circuit Technology. 436–439, (Shanghai, China), 23-26 Oct. 2006. [70] D. Wu, A.-C. Lindgren, S. Persson, G. Sjöblom, M. von Haartman, J. Seger, P.-E. Hellström, J. Olsson, H.-O. Blom, S.-L. Zhang, M. Östling, E. Vainonen-Ahlgren, W.-M. Li, E. Tois, and M. Tuominen, “A Novel Strained Si0.7 Ge0.3 Surface-Channel pMOSFET With an ALD TiN / Al2 O3 / HfAlOx / Al2 O3 Gate Stack”, IEEE Electron Device Lett. 24(3), 171–173, 2003. [71] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, et al., “A 45 nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193 nm Dry Patterning, and 100% Pb-free Packaging”, in IEEE International Electron Devices Meeting 2007 (IEDM 2007), Tech. Digest. 247–250, 2007. [72] P. D. Ye, G. D. Wilk, B. Yang, S. N. G. Chu, K. K. Ng, and J. Bude, “Improvement of GaAs metal–semiconductor field-effect transistor drain–source breakdown voltage by oxide surface passivation grown by atomic layer deposition”, Solid-State Electron. 49, 790–794, 2005. [73] M. L. Huang, Y. C. Chang, C. H. Chang, Y. J. Lee, P. Chang, J. Kwo, T. B. Wu, and M. Hong, “Surface passivation of III-V compound semiconductors using atomic-layerdeposition-grown Al2 O3 ”, Appl. Phys. Lett. 87, 252104, 2005.

207

Bibliography [74] D. H. Kim, V. Kumar, G. Chen, A. M. Dabiran, A. M. Wowchak, A. Osinsky, and I. Adesida, “ALD Al2 O3 passivated MBE-grown AlGaN/GaN HEMTs on 6H-SiC”, Electron. Lett. 43(2), 127–128, 2007. [75] M. Hong, J. R. Kwo, P. chun Tsai, Y. Chang, M.-L. Huang, C. ping Chen, and T. da Lin, “III–V Metal–Oxide–Semiconductor Field-Effect Transistors with High k Dielectrics”, Jpn. J. Appl. Phys. 46(5B), 3167–3180, 2007. [76] M. Caymax, S. van Elshocht, M. Houssa, A. Delabie, T. Conard, M. Heuris, M. M. Heyns, A. Dimoulas, S. Spiga, M. Fanciulli, J. W. Seo, and L. V. Goncharova, “HfO2 as gate dielectric on Ge: Interfaces and deposition techniques”, Mater. Sci. Eng. B 135, 256–260, 2006. [77] C. O. Chui, H. Kim, D. Chi, P. C. McIntyre, and K. C. Saraswat, “Nanoscale Germanium MOS Dielectrics — Part II: High-k Gate Dielectrics”, IEEE Trans. Electron. Dev. 53(7), 1509–1516, 2006. [78] A. Delabie, F. Bellenger, M. Houssa, T. Conard, S. van Elshocht, M. Caymax, M. Heyns, and M. Meuris, “Effective electrical passivation of Ge(100) for high-k gate dielectric layers using germanium oxide”, Appl. Phys. Lett. 91, 082904, 2007. [79] M. Caymax, M. Houssa, G. Pourtois, F. Bellenger, K. Martens, A. Delabie, and S. van Elshocht, “Interface control of high-k gate dielectrics on Ge”, Appl. Surf. Sci. 254, 6094– 6099, 2008. [80] J.-S. Park, M.-J. Lee, C.-S. Lee, and S.-W. Kang, “Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent”, Electrochem. Solid-State Lett. 4(4), C17–C19, 2001. [81] M. Leskelä and M. Ritala, “Atomic layer deposition (ALD): from precursors to thin film structures”, Thin Solid Films 409, 138–146, 2002. [82] J. W. Elam, M. Schuisky, J. D. Ferguson, and S. M. George, “Surface chemistry and film growth during TiN atomic layer deposition using TDMAT and NH3 ”, Thin Solid Films 436, 145–156, 2003. [83] J. S. Becker, S. Suh, S. Wang, and R. G. Gordon, “Highly Conformal Thin Films of Tungsten Nitride Prepared by Atomic Layer Deposition from a Novel Precursor”, Chem. Mater. 15, 2969–2976, 2003. [84] S.-H. Kim, S. S. Oh, K.-B. Kim, D.-H. Kang, W.-M. Li, S. Haukka, and M. Tuominen, “Atomic-layer-deposited WNx Cy thin films as diffusion barrier for copper metallization”, Appl. Phys. Lett. 82(25), 4486–4488, 2003. [85] S.-H. Kim, S. S. Oh, H.-M. Kim, D.-H. Kang, K.-B. Kim, W.-M. Li, S. Haukka, and M. Tuominen, “Characterization of Atomic Layer Deposited WNx Cy Thin Film as a Diffusion Barrier for Copper Metallization”, J. Electrochem. Soc. 151(4), C272–C282, 2004. [86] M.-K. Song and S.-W. Rhee, “Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimido-tris(diethylamido)-tantalum (TBTDET), and its Effect on Material Properties”, Chem. Vap. Deposition 14, 334–338, 2008.

208

Bibliography [87] H. Kim, “Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing”, J. Vac. Sci. Technol. B 21(6), 2231– 2261, 2003. [88] J. Uhm and H. Jeon, “TiN Diffusion Barrier Grown by Atomic Layer Deposition Method for Cu Metallization”, Jpn. J. Appl. Phys. Part 1 40(7), 4657–4660, 2001. [89] H. Kim, C. Detavenier, O. van der Straten, S. M. Rossnagel, A. J. Kellock, and D.-G. Park, “Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition”, J. Appl. Phys. 98, 014308, 2005. [90] H. Kim, “The application of atomic layer deposition for metallization of 65 nm and beyond”, Surf. Coat. Technol. 200, 3104–3111, 2006. [91] L. Reijnen, B. Meester, A. Goossens, and J. Schoonman, “Atomic Layer Deposition of Cux S for Solar Energy Conversion”, Chem. Vap. Deposition 9(1), 15–20, 2003. [92] N. Naghavi, S. Spiering, M. Powalla, B. Cavanna, and D. Lincot, “High-Efficiency Copper Indium Gallium Diselenide (CIGS) Solar Cells with Indium Sulfide Buffer Layers Deposited by Atomic Layer Chemical Vapor Deposition (ALCVD)”, Prog. Photovolt.: Res. Appl. 11, 437–443, 2003. [93] C. Platzer-Björkman, T. Törndahl, D. Abou-Ras, J. Malmström, J. Kessler, and L. Stolt, “Zn(O, S) buffer layers by atomic layer deposition in Cu(In, Ga)Se2 based thin film solar cells: Band alignment and sulfur gradient”, J. Appl. Phys. 100, 044506, 2006. [94] J. Elam, “Emerging Applications for ALD in Energy Technologies”, in ALD 2008 – 8th International Conference on Atomic Layer Deposition. Bruges (Belgium), 29 June to 2 July 2008. [95] B. Hoex, J. Schmidt, R. Brendel, M. C. M. van de Sanden, and W. M. M. Kessels, “HighEfficiency Crystalline Silicon Solar Cells with ALD Al2 O3 ”, in ALD 2008 – 8th International Conference on Atomic Layer Deposition. Bruges (Belgium), 29 June to 2 July 2008. [96] B. Sang, A. Yamada, and M. Konagai, “Textured ZnO Thin Films for Solar Cells Grown by a Two-step Process with the Atomic Layer Deposition Technique”, Jpn. J. Appl. Phys. 37(Part 2, No. 2B), L206–L208, 1998. [97] A. B. F. Martinson, J. W. Elam, J. T. Hupp, and M. J. Pellin, “ZnO Nanotube Based DyeSensitized Solar Cells”, Nano Lett. 7(8), 2183–2187, 2007. [98] T. W. Hamann, A. B. F. Martinson, J. W. Elam, M. J. Pellin, and J. T. Hupp, “Atomic Layer Deposition of TiO2 on Aerogel Templates: New Photoanodes for Dye-Sensitized Solar Cells”, J. Phys. Chem. C 112, 10303–10307, 2008. [99] J. Baker, “Use of Blocking Layers Deposited by Atmospheric Pressure ALD to Reduce Recombination Reactions in Flexible Dye Sensitized Solar Cells (DSSC) and to Pattern DSSC Titania Anodes”, in ALD 2008 – 8th International Conference on Atomic Layer Deposition. Bruges (Belgium), 29 June to 2 July 2008.

209

Bibliography [100] W. J. Potscavage, S. Yoo, B. Domercq, and B. Kippelen, “Encapsulation of pentacene/C60 organic solar cells with Al2 O3 deposited by atomic layer deposition”, Appl. Phys. Lett. 90, 253511, 2007. [101] B. C. H. Steele and A. Heinzel, “Materials for fuel-cell technologies”, Nature 414, 345–352, 2001. [102] N. P. Brandon, S. Skinner, and B. C. H. Steele, “Recent Advances in Materials for Fuel Cells”, Annu. Rev. Mater. Res. 33, 183–213, 2003. [103] C. Brahim, A. Ringuedé, M. Cassir, M. Putkonen, and L. Niinistö, “Electrical properties of thin yttria-stabilized zirconia overlayers produced by atomic layer deposition for solid oxide fuel cell applications”, Appl. Surf. Sci. 253, 3962–3968, 2007. [104] T. P. Holme, C. Lee, and F. B. Prinz, “Atomic layer deposition of LSM cathodes for solid oxide fuel cells”, Solid State Ionics 179, 1540–1544, 2008. [105] J. S. King, A. Wittstock, J. Biener, S. O. Kucheyev, Y. M. Wang, T. F. Baumann, S. K. Giri, A. V. Hamza, M. Baeumer, and S. F. Bent, “Ultralow Loading Pt Nanocatalysts Prepared by Atomic Layer Deposition on Carbon Aerogels”, Nano Lett. 8(8), 2405–2409, 2008. [106] X. Jiang, H. Huang, F. B. Prinz, and S. F. Bent, “Application of Atomic Layer Deposition of Platinum to Solid Oxide Fuel Cells”, Chem. Mater. 20, 3897–3905, 2008. [107] S.-H. K. Park, J. Oh, C.-S. Hwang, J.-I. Lee, Y. S. Yang, and H. Y. Chu, “Ultrathin Film Encapsulation of an OLED by ALD”, Electrochem. Solid-State Lett. 8(2), H21–H23, 2005. [108] E. Langereis, M. Creatore, S. B. S. Heil, M. C. M. van de Sanden, and W. M. M. Kessels, “Plasma-assisted atomic layer deposition of Al2 O3 moisture permeation barriers on polymers”, Appl. Phys. Lett. 89, 081915, 2006. [109] S. Ferrari, F. Perissinotti, E. Peron, L. Fumagalli, D. Natali, and M. Sampietro, “Atomic layer deposited Al2 O3 as a capping layer for polymer based transistors”, Org. Electron. 8, 407–414, 2007. [110] A. Yamada, B. Sang, and M. Konagai, “Atomic layer deposition of ZnO transparent conducting oxide”, Appl. Surf. Sci. 112, 216–222, 1997. [111] S. J. Lim, S. K. Lee, M. Jo, C.-S. Lee, S. Kwon, and H. Kim, “In-Situ Doping during ZnO Atomic Layer Deposition”, J. Korean Phys. Soc. 53(1), 253–257, 2008. [112] A. W. Ott and R. P. H. Chang, “Atomic layer-controlled growth of transparent conducting ZnO on plastic substrates”, Mater. Chem. Phys. 58, 132–138, 1999. [113] S.-H. K. Park, J.-I. Lee, C.-S. Hwang, and H. Y. Chu, “Characteristics of Organic Light Emitting Diodes with Al-Doped ZnO Anode Deposited by Atomic Layer Deposition”, Jpn. J. Appl. Phys. 44(7), L242–L245, 2005. [114] J. Meyer, P. Görrn, S. Hamwi, H.-H. Johannes, T. Riedl, and W. Kowalsky, “Indium-free transparent organic light emitting diodes with Al doped ZnO electrodes grown by atomic layer and pulsed laser deposition”, Appl. Phys. Lett. 93, 073308, 2008.

210

Bibliography [115] S.-H. K. Park, C.-S. Hwang, H. Y. Jeong, H. Y. Chu, and K. I. Cho, “Transparent ZnOTFT Arrays Fabricated by Atomic Layer Deposition”, Electrochem. Solid-State Lett. 11(1), H10–H14, 2008. [116] D. H. Levy, D. Freemand, S. F. Nelson, P. J. Cowdery-Corvan, and L. M. Irving, “Stable ZnO thin film transistors by fast open air atomic layer deposition”, Appl. Phys. Lett. 92, 192101, 2008. [117] M. Ritala and M. Leskelä, “Atomic layer epitaxy – a valuable tool for nanotechnology?”, Nanotechnology 10, 19–24, 1999. [118] M. Leskelä and M. Ritala, “Atomic Layer Deposition Chemistry: Recent Developments and Future Challenges”, Angew. Chem. Int. Ed. 42, 5548–5554, 2003. [119] M. Albert and J. W. Bartha, “Atomlagenabscheidung als Werkzeug für die Nanotechnologie (Atomic layer deposition – a tool for nanotechnology)”, Vakuum in Forschung und Praxis 20(1), 7–11, 2008. [120] H. Kim, H.-B.-R. Lee, and W.-J. Maeng, “Applications of atomic layer deposition to nanofabrication and emerging nanodevices”, Thin Solid Films 517, 2563–2580, 2009. [121] N. D. Hoivik, J. W. Elam, R. J. Linderman, V. M. Bright, S. M. George, and Y. C. Lee, “Atomic layer deposited protective coatings for micro-electromechanical systems”, Sens. Actuactors A 103, 100–108, 2003. [122] T. M. Mayer, J. W. Elam, S. M. George, P. G. Kotula, and R. S. Goeke, “Atomic-layer deposition of wear-resistant coatings for microelectromechanical devices”, Appl. Phys. Lett. 82(17), 2883–2885, 2003. [123] C. R. Stoldt and V. M. Bright, “Ultra-thin film encapsulation processes for micro-electromechanical device and systems”, J. Phys. D: Appl. Phys. 39, R163–R170, 2006. [124] J. S. King, C. W. Neff, C. J. Summers, W. Park, S. Blomquist, E. Forsythe, and D. Morton, “High-filling-fraction inverted ZnS opals fabricated by atomic layer deposition”, Appl. Phys. Lett. 83(13), 2566–2568, 2003. [125] A. Rugge, J. S. Becker, R. G. Gordon, and S. H. Tolbert, “Tungsten Nitride Inverse Opals by Atomic Layer Deposition”, Nano Lett. 3(9), 1293–1297, 2003. [126] J. S. King, E. Graugnard, and C. J. Summers, “TiO2 Inverse Opals Fabricated Using LowTemperature Atomic Layer Deposition”, Adv. Mater. 17(8), 1010–1012, 2005. [127] J. S. King, D. Heinemann, E. Graugnard, and C. J. Summers, “Atomic layer deposition in porous structures: 3D photonic crystals”, Appl. Surf. Sci. 244, 511–516, 2005. [128] M. Daub, M. Knez, U. Goesele, and K. Nielsch, “Ferromagnetic nanotubes by atomic layer deposition in anodic alumina membranes”, J. Appl. Phys. 101, 09J111, 2007. [129] Y. Qin, L. Liu, R. Yang, U. Gösele, and M. Knez, “General Assembly Method for Linear Metal Nanoparticle Chains Embedded in Nanotubes”, Nano Lett. 8(10), 3221–3225, 2008.

211

Bibliography [130] Y.-S. Min, E. J. Bae, K. S. Jeong, Y. J. Cho, J.-H. Lee, W. B. Choi, and G.-S. Park, “Ruthenium Oxide Nanotube Arrays Fabricated by Atomic Layer Deposition Using a Carbon Nanotube Template”, Adv. Mater. 15(12), 1019–1022, 2003. [131] D. B. Farmer and R. G. Gordon, “ALD of high-k Dielectrics on Suspended Functionalized SWNTs”, Electrochem. Solid-State Lett. 8(4), G89–G91, 2005. [132] D. S. Kim, S.-M. Lee, R. Scholz, M. Knez, U. Gösele, J. Fallert, H. Kalt, and M. Zacharias, “Synthesis and optical properties of ZnO and carbon nanotube based coaxial heterostructures”, Appl. Phys. Lett. 93, 103108, 2008. [133] M. Kemell, V. Pore, M. Ritala, and M. Leskelä, “Ir/Oxide/Cellulose Composites for Catalytic Purposes by Atomic Layer Deposition”, Chem. Vap. Deposition 12, 419–422, 2006. [134] G.-M. Kim, S.-M. Lee, G. H. Michler, H. Roggendorf, U. Gösele, and M. Knez, “Nanostructured Pure Anatase Titania Tubes Replicated from Electrospun Polymer Fiber Templates by Atomic Layer Deposition”, Chem. Mater. 20(9), 3085–3091, 2008. [135] M. Knez, A. Kadri, C. Wege, U. Gösele, H. Jeske, and K. Nielsch, “Atomic Layer Deposition on Biological Macromolecules: Metal Oxide Coating of Tobacco Mosaic Virus and Ferritin”, Nano Lett. 6(6), 1172–1177, 2006. [136] M. Knez, K. Nielsch, and L. Niinistö, “Synthesis and Surface Engineering of Complex Nanostructures by Atomic Layer Deposition”, Adv. Mater. 19, 3425–3438, 2007. [137] T. Yoshimura, S. Tatsuura, and W. Sotoyama, “Polymer films formed with monolayer growth steps by molecular layer deposition”, Appl. Phys. Lett. 59(4), 482–484, 1991. [138] T. Yoshimura, S. Tatsuura, W. Sotoyama, A. Matsuura, and T. Hayano, “Quantum wire and dot formation by chemical vapor deposition and molecular layer deposition of onedimensional conjugated polymer”, Appl. Phys. Lett. 60(3), 268–270, 1992. [139] Y. Du and S. M. George, “Molecular Layer Deposition of Nylon 66 Films Examined Using in Situ FTIR Spectroscopy”, J. Phys. Chem. C 24, 8509–8517, 2007. [140] N. M. Adamczyk, A. A. Dameron, and S. M. George, “Molecular Layer Deposition of Poly(p-phenylene terephthalamide) Films Using Terephthaloyl Chloride and pPhenylenediamine”, Langmuir 24(5), 2081–2089, 2008. [141] A. A. Dameron, D. Seghete, B. B. Burton, S. D. Davidson, A. S. Cavanagh, J. A. Bertrand, and S. M. George, “Molecular Layer Deposition of Alucone Polymer Films Using Trimethylaluminum and Ethylene Glycol”, Chem. Mater. 20, 3315–3326, 2008. [142] P. W. Atkins, Physikalische Chemie. Dritte, korrigierte Auflage., Wiley-VCH, Weinheim et al., 2001. [143] R. L. Puurunen, “Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process”, J. Appl. Phys. 97, 121301, 2005. [144] H.-J. Butt, K. Graf, and M. Kappl, Physics and Chemistry of Interfaces, Wiley-VCH, Weinheim, 2003.

212

Bibliography [145] R. L. Puurunen, “Growth Per Cycle in Atomic Layer Deposition: A Theoretical Model”, Chem. Vap. Deposition 9(5), 249–257, 2003. [146] M. Ritala, M. Leskelä, L. Niinistö, and P. Haussalo, “Titanium Isopropoxide as a Precursor in Atomic Layer Epitaxy of Titanium Dioxide Thin Films”, Chem. Mater. 5, 1174–1181, 1993. [147] M. Ylilammi, “Monolayer thickness in atomic layer deposition”, Thin Solid Films 279, 124– 130, 1996. [148] R. L. Puurunen, “Growth Per Cycle in Atomic Layer Deposition: Real Application Examples of a Theoretical Model”, Chem. Vap. Deposition 9(6), 327–332, 2003. [149] M. Ritala, M. Leskelä, E. Nykänen, P. Soininen, and L. Niinistö, “Growth of titanium dioxide thin films by atomic layer epitaxy”, Thin Solid Films 225, 288–295, 1993. [150] L. Nyns, L. Hall, T. Conard, A. Delabie, W. Deweerd, M. Heyns, S. van Elshocht, N. V. Hoornick, C. Vinckler, and S. D. Gendt, “Nucleation and Growth Behaviour of Atomic Layer Deposited HfO2 Films on Silicon Oxide Starting Surfaces”, J. Electrochem. Soc. 153(9), F205–F209, 2006. [151] T. Aaltonen, P. Alén, M. Ritala, and M. Leskelä, “Ruthenium Thin Films Grown by Atomic Layer Deposition”, Chem. Vap. Deposition 9(1), 45–49, 2003. [152] G. A. ten Eyck, J. J. Senkevich, F. Tang, D. Liu, S. Pimanpang, T. Karaback, G.-C. Wang, T.-M. Lu, C. Jezewski, and W. A. Lanford, “Plasma-Assisted Atomic Layer Deposition of Palladium”, Chem. Vap. Deposition 11(1), 60–66, 2005. [153] P. Tägtström, P. Mårtensson, U. Jansson, and J.-O. Carlsson, “Atomic Layer Epitaxy of Tungsten Oxide Films Using Oxyfluorides as Metal Precursors”, J. Electrochem. Soc. 146(8), 3139–3143, 1999. [154] Y. Wang, M.-T. Ho, L. V. Goncharova, L. S. Wielunski, S. Rivillon-Amy, Y. J. Chabal, and T. Gustafsson, “Characterization of Ultra-Thin Hafnium Oxide Films Grown on Silicon by Atomic Layer Deposition Using Tetrakis(ethylmethyl-amino) Hafnium and Water Precursors”, Chem. Mater. 19, 3127–3138, 2007. [155] A. W. Ott, K. C. McCarley, J. W. Klaus, J. D. Way, and S. M. George, “Atomic layer controlled deposition of Al2 O3 films using binary reaction sequence chemistry”, Appl. Surf. Sci. 107, 128–136, 1996. [156] M. Putkonen, J. Niinistö, K. Kukli, T. Sajavaara, M. Karppinen, H. Yamauchi, and L. Niinistö, “ZrO2 Thin Films Grown on Silicon Substrates by Atomic Layer Deposition with Cp2 Zr(CH3 )2 and Water as Precursors”, Chem. Vap. Deposition 9(4), 207–212, 2003. [157] K. Kukli, T. Pilvi, M. Ritala, T. Sajavaara, J. Lu, and M. Leskelä, “Atomic layer deposition of hafnium dioxide thin films from hafnium tetrakis(dimethylamide) and water”, Thin Solid Films 491, 328–338, 2005. [158] T. Aaltonen, M. Ritala, T. Sajavaara, J. Keinonen, and M. Leskelä, “Atomic Layer Deposition of Platinum Thin Films”, Chem. Mater. 15, 1924–1928, 2003.

213

Bibliography [159] T. Aaltonen, M. Ritala, V. Sammelselg, and M. Leskelä, “Atomic Layer Deposition of Iridium Thin Films”, J. Electrochem. Soc. 151(8), G489–G492, 2004. [160] S. K. Kim, S. Y. Lee, S. W. Lee, G. W. Hwang, C. S. Hwang, J. W. Lee, and J. Jeong, “Atomic Layer Deposition of Ru Thin Films Using 2,4(Dimethylpentadienyl)(ethylcyclopentadienyl)Ru by a Liquid Injection System”, J. Electrochem. Soc. 154(2), D95–D101, 2007. [161] Y. L. Lee and S.-W. Kang, “Atomic Layer Deposition of Aluminum Thin Films Using an Alternating Supply of Trimethylaluminum and a Hydrogen Plasma”, Electrochem. SolidState Lett. 5(10), C91–C93, 2002. [162] H. Kim and S. M. Rossnagel, “Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties”, Thin Solid Films 441, 311–316, 2003. [163] C. Jezewski, W. A. Lanford, C. J. Wiegand, J. P. Singh, P.-I. Wang, J. J. Senkevich, and T.M. Lu, “Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces”, J. Electrochem. Soc. 152(2), C60–C64, 2005. [164] O. Nilsen, C. E. Mohn, A. Kjekshus, and H. Fjellvåg, “Analytical model for island growth in atomic layer deposition using geometrical principles”, J. Appl. Phys. 102, 024906, 2007. [165] R. L. Puurunen, “Random Deposition as a Growth Mode in Atomic Layer Deposition”, Chem. Vap. Deposition 10(3), 159–170, 2004. [166] D. L. Smith, Thin-Film Deposition. Principles & Practice, McGraw-Hill, Inc., New York, et al., 1995. [167] S. M. Rossnagel, A. Sherman, and F. Turner, “Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers”, J. Vac. Sci. Technol. B 18(4), 2016–2020, 2000. [168] H. Kim, J. C. Cabral, C. Lavoie, and S. M. Rossnagel, “Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition”, J. Vac. Sci. Technol. B 20(4), 1321–1326, 2002. [169] Y.-S. Kim, G. A. ten Eyck, D. Ye, C. Jezewski, T. Karabacak, H.-S. Shin, J. Senkevich, and T.-M. Lu, “Atomic Layer Deposition of Pd on TaN for Cu Electroless Plating”, J. Electrochem. Soc. 152(6), C376–C381, 2005. [170] Y. Zhu, K. A. Dunn, and A. E. Kaloyeros, “Properties of ultrathin platinum deposited by atomic layer deposition for nanoscale copper-metallization schemes”, J. Mater. Res. 22(5), 1292–1298, 2007. [171] T. Aaltonen, M. Ritala, and M. Leskelä, “ALD of Rhodium Thin Films from Rh(acac)3 and Oxygen”, Electrochem. Solid-State Lett. 8(8), C99–C101, 2005. [172] O.-K. Kwon, J.-H. Kim, H.-S. Park, and S.-W. Kang, “Atomic Layer Deposition of Ruthenium Thin Films for Copper Glue Layer”, J. Electrochem. Soc. 151(2), G109–G112, 2004. [173] A. Niskanen, T. Hatanpää, K. Arstila, M. Leskelä, and M. Ritala, “Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates”, Chem. Vap. Deposition 13, 408–413, 2007.

214

Bibliography [174] K. Kim, K. Lee, S. Han, T. Park, Y. Lee, J. Kim, S. Yeom, and H. Jeon, “Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2 ] and Dicobalt Octacarbonyl [Co2 (CO)8 ]”, Jpn. J. Appl. Phys. 46(8), L173–L176, 2007. [175] B. S. Lim, A. Rahtu, J.-S. Park, and R. G. Gordon, “Atomic layer deposition of transition metals”, Nature Mater. 2, 749–754, 2004. [176] Z. Li, R. G. Gordon, D. B. Farmer, Y. Lin, and J. Vlassak, “Nucleation and Adhesion of ALD Copper on Cobalt Adhesion Layers and Tungsten Nitride Diffusion Barriers”, Electrochem. Solid-State Lett. 8(7), G182–G185, 2005. [177] M. Utriainen, M. Kröger-Laukkanen, L.-S. Johansson, and L. Niinistö, “Studies of metallic thin film growth in an atomic layer epitaxy reactor using M(acac)2 (M = Ni, Cu, Pt) precursors”, Appl. Surf. Sci. 157, 151–158, 2000. [178] J. Chae, J.-S. Park, and S.-W. Kang, “Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide”, Electrochem. Solid-State Lett. 5(6), C64–C66, 2002. [179] N. E. Lay, G. A. ten Eyck, D. J. Duquette, and T.-M. Lu, “Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier”, Electrochem. Solid-State Lett. 10(1), D13–D16, 2007. [180] J. W. Klaus, S. J. Ferro, and S. M. George, “Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction”, Thin Solid Films 360, 145–153, 2000. [181] J. W. Elam, C. E. Nelson, R. K. Grubbs, and S. M. George, “Kinetics of the WF6 and Si2 H6 surface reactions during tungsten atomic layer deposition”, Surf. Sci. 479, 121–135, 2001. [182] R. K. Grubbs, C. E. Nelson, N. J. Steinmetz, and S. M. George, “Nucleation and growth during the atomic layer deposition of W on Al2 O3 and Al2 O3 on W”, Thin Solid Films 467, 16–27, 2004. [183] F. H. Fabreguette, Z. A. Sechrist, J. W. Elam, and S. M. George, “Quartz crystal microbalance study of tungsten atomic layer deposition using WF6 and Si2 H6 ”, Thin Solid Films 488, 103–110, 2005. [184] P. W. Atkins and J. A. Beran, Chemie: einfach alles, VCH Verlagsgesellschaft mbH, Weinheim, 1996. [185] L. Wu and E. Eisenbraun, “Hydrogen plasma-enhanced atomic layer deposition of copper thin films”, J. Vac. Sci. Technol. B 25(6), 2581–2585, 2007. [186] A. Niskanen, A. Rahtu, T. Sajavaara, K. Arstila, M. Ritala, and M. Leskelä, “RadicalEnhanced Atomic Layer Deposition of Metallic Copper Thin Films”, J. Electrochem. Soc. 152(1), G25–G28, 2005. [187] P. Mårtensson and J.-O. Carlsson, “Atomic Layer Epitaxy of Copper on Tantalum”, Chem. Vap. Deposition 3(1), 45–50, 1997. [188] M. Juppo, M. Ritala, and M. Leskelä, “Deposition of copper films by an alternate supply of CuCl and Zn”, J. Vac. Sci. Technol. A 15(4), 2330–2333, 1997.

215

Bibliography [189] A. Johansson, T. Törndahl, L. M. Ottosson, M. Boman, and J.-O. Carlsson, “Copper nanoparticles deposited inside the pores of anodized aluminum oxide using atomic layer deposition”, Mater. Sci. Eng. C 23, 823–826, 2003. [190] T. Törndahl, M. Ottosson, and J.-O. Carlsson, “Growth of copper metal by atomic layer deposition using copper(I) chloride, water and hydrogen as precursors”, Thin Solid Films 458, 129–136, 2004. [191] J. A. Thornton, “Influence of substrate temperature and deposition rate on structure of thick sputtered Cu coatings”, J. Vac. Sci. Technol. 12(4), 830–835, 1975. [192] M.-A. Nicolet, “Diffusion Barriers in Thin Films”, Thin Solid Films 52, 415–443, 1978. [193] T. Hara, K. Sakata, A. Kawaguchi, and S. Kamijima, “Control of Agglomeration on Copper Seed Layer Employed in the Copper Interconnection”, Electrochem. Solid-State Lett. 4(11), C81–C84, 2001. [194] R. Saxena, M. J. Frederick, G. Ramanath, W. N. Gill, and J. L. Plawsky, “Kinetics of voiding and agglomeration of copper nanolayers on silica”, Phys. Rev. B 72, 115425, 2005. [195] J. Wu, B. Han, C. Zhou, X. Lei, T. R. Gaffney, J. A. T. Norman, Z. Li, R. Gordon, and H. Cheng, “Density Function Theory Study of Copper Agglomeration on the WN(001) Surface”, J. Phys. Chem. C 111(26), 9403–9406, 2007. [196] F. Fillot, Z. T˝okei, and G. P. Beyer, “Surface diffusion of copper on tantalum substrates by Ostwald ripening”, Surf. Sci. 601, 986–993, 2007. [197] J. Huo, R. Solanki, and J. McAndrew, “Characteristics of copper films produced via atomic layer deposition”, J. Mater. Res. 17(9), 2394–2398, 2002. [198] R. Solanki and B. Pathangey, “Atomic Layer Deposition of Copper Seed Layers”, Electrochem. Solid-State Lett. 3(10), 479–480, 2000. [199] S. Gandikota, S. Voss, R. Tao, A. Dobust, D. Cong, L.-Y. Chen, S. Ramaswami, and D. Carl, “Adhesion studies of CVD copper metallization”, Microelectron. Eng. 50, 547–553, 2000. [200] K. Weiss, S. Riedel, S. E. Schulz, M. Schwerd, H. Helneder, H. Wendt, and T. Gessner, “Development of different copper seed layers with respect to the copper electroplating process”, Microelectron. Eng. 50, 433–440, 2000. [201] S. Riedel, K. Weiss, S. E. Schulz, and T. Gessner, “Adhesion study on copper films deposited by MOCVD”, in Conference Proceedings Advanced Metallization Conference 1999 (AMC 1999). M. E. Gross, ed., 195–199, 2000. [202] P. Mårtensson and J.-O. Carlsson, “Atomic Layer Epitaxy of Copper. Growth and Selectivity in the Cu(II)-2,2,6,6-tetramethyl-3,5-heptanedionate/H2 Process”, J. Electrochem. Soc. 145(8), 2926–2931, 1998. [203] B. S. Lim, A. Rahtu, J.-S. Park, and R. G. Gordon, “Synthesis and Characterization of Volatile, Thermally Stable, Reactive Transition Metal Amidinates”, Inorg. Chem. 42(24), 7951–7958, 2003.

216

Bibliography [204] P. de Rouffignac, Z. Li, and R. G. Gordon, “Sealing Porous Low-k Dielectrics with Silica”, Electrochem. Solid-State Lett. 7(12), G306–G308, 2004. [205] Z. Li, S. T. Barry, and R. G. Gordon, “Synthesis and Characterization of Copper(I) Amidinates as Precursors for Atomic Layer Deposition (ALD) of Copper Metal”, Inorg. Chem. 44(6), 1728–1735, 2005. [206] Z. Li, A. Rahtu, and R. Gordon, “Atomic layer deposition of ultrathin copper metal films from a liquid copper(I) amidinate precursor”, J. Electrochem. Soc. 153(11), C787–C794, 2006. [207] C. Lee and H.-H. Lee, “A Potential Novel Two-Step MOCVD of Copper Seed Layers”, Electrochem. Solid-State Lett. 8(1), G5–G7, 2005. [208] H. Kim, Y. Kojima, H. Sato, N. Yoshii, S. Hosaka, and Y. Shimogaki, “Thin and Smooth Cu Seed Layer Deposition using the Reduction of Low Temperature Deposited Cu2 O”, Mater. Res. Soc. Symp. Proc. 914, 167–172, 2006. [209] H.-H. Lee, C. Lee, Y.-L. Kuo, and Y.-W. Yen, “A novel two-step MOCVD for producing thin copper films with a mixture of ethyl alcohol and water as the additive”, Thin Solid Films 498, 43–49, 2006. [210] Z. Li and R. G. Gordon, “Thin Continuous and Conformal Copper Films by Reduction of Atomic Layer Deposited Copper Nitride”, Chem. Vap. Deposition 12(7), 435–441, 2006. [211] T. Törndahl, M. Ottosson, and J.-O. Carlsson, “Growth of Copper(I) Nitride by ALD Using Copper(II) Hexafluoroacetylacetonate, Water, and Ammonia as Precursors”, J. Electrochem. Soc. 153(3), C146–C151, 2006. [212] H. Kim, H. B. Bhandari, S. Xu, and R. G. Gordon, “Ultrathin CVD Cu Seed Layer Formation Using Copper Oxynitride Deposition and Room Temperature Remote Hydrogen Plasma Reduction”, J. Electrochem. Soc. 155(7), H496–H503, 2008. [213] P. J. Soininen, K.-E. Elers, V. Saanila, S. Kaipio, T. Sajavaara, and S. Haukka, “Reduction of Copper Oxide Films to Elemental Copper”, J. Electrochem. Soc. 152(2), G122–G125, 2005. [214] H.-K. Shin, M.-J. Hampden-Smith, E. N. Duesler, and T. T. Kodas, “The chemistry of copper(I) β-diketonate compounds. Part V. Syntheses and characterization of (βdiketonate)CuLn species where L = PBu3 , PPh3 , and PCy3 ; n = 1 and 2; crystal and molecular structures of (acac)Cu(PCy3 ), (tfac)Cu(PCy3 ), (hfac)Cu(PCy3 ), and (hfac)Cu(PCy3 )2 ”, Can. J. Chem. 70, 2954–2966, 1992. [215] Y.-Z. Shen, M. Leschke, S. E. Schulz, R. Ecke, T. Gessner, and H. Lang, “Synthesis of Trin-butylphosphine Copper(I) β-Diketonates and Their Use in Chemical Vapour Deposition of Copper”, Chin. J. Inorg. Chem. 20(11), 1257–1264, 2004. [216] J. A. T. Norman, B. A. Muratore, P. N. Dyer, D. A. Roberts, and A. K. Hochberg, “New OMCVD Precursors for Selective Copper Metallization”, J. Phys. IV 2(C2), 271–278, 1991. [217] S. K. Reynolds, C. J. Smart, E. F. Baran, T. H. Baum, C. E. Larson, and P. J. Brock, “Chemical vapor deposition of copper from 1,5-cyclooctadiene copper(I)hexafluoroacetylacetonate”, Appl. Phys. Lett. 59(18), 2332–2334, 1991.

217

Bibliography [218] R. Teghil, D. Ferro, L. Bencivenni, and M. Pelino, “A thermodynamic study of the sublimation processes of aluminum and copper acetylacetonates”, Thermochim. Acta 44, 213–222, 1981. [219] M. Böhme, “Design of a process controller for atomic layer deposition”, Student research report (in German) , Chemnitz University of Technology, 2005 (http://archiv. tu-chemnitz.de/pub/2005/0191). [220] R. J. Kriegler, Y. C. Cheng, and D. R. Colton, “The Effect of HCl and Cl2 on the Thermal Oxidation of Silicon”, J. Electrochem. Soc. 119(3), 388–392, 1971. [221] K. Vedam, “Spectroscopic ellipsometry: a histotical overview”, Thin Solid Films 313-314, 1–9, 1998. [222] X. Gao, D. W. Glenn, and J. A. Woollam, “In situ ellipsometric diagnostics of multilayer thin film deposition during sputtering”, Thin Solid Films 313-314, 511–515, 1998. [223] H. G. Tompkins and W. A. McGahan, Spectroscopic Ellipsometry and Reflectometry. A User’s Guide, John Wiley & Sons, New York, 1999. [224] E. Langereis, S. B. S. Heil, H. C. M. Knoops, W. Keuning, M. C. M. van de Sanden, and W. M. M. Kessels, “In situ spectroscopic ellipsometry as a versatile tool for studying atomic layer deposition”, J. Phys. D: Appl. Phys. 42, 073001, 2009. [225] M. Grasserbauer and H. W. Werner, eds., Analysis of Microelectronic Materials and Devices, John Wiley & Sons, Chichester, 1991. [226] S. Oswald, M. Zier, R. Reiche, and K. Wetzig, “Angle-resolved XPS: a critical evaluation for various applications”, Surf. Interface Anal. 38, 590–594, 2006. [227] P. Mack, R. G. White, J. Wolstenholme, and T. Conard, “The use of angle resolved XPS to measure the fractional coverage of high-k dielectric materials on silicon and silicon dioxide surfaces”, Appl. Surf. Sci. 252, 8270–8276, 2006. [228] M. J. Hampden-Smith and T. T. Kodas, “Chemical Vapor Deposition of Copper from Cu(I) Compounds”, in The Chemistry of Metal CVD. T. T. Kodas and M. J. Hampden-Smith, eds., 239–302, VCH Verlagsgesellschaft mbH, Weinheim, 1994. [229] S. Riedel, Untersuchungen zur Integration von MOCVD-Titannitridbarriere- und Kupferschichten in Leitbahnsysteme der Mikroelektronik, Shaker-Verlag, Aachen, 2002. [230] Datasheet, CupraSelect, Air Products and Chemicals, Inc., 2004. [231] Z. Q. Liu, W. J. Wang, T. M. Wang, S. Chao, and S. K. Zheng, “Thermal stability of copper nitride films prepared by rf magnetron sputtering”, Thin Solid Films 325, 55–59, 1998. [232] J. Pinkas, J. C. Huffman, D. W. Baxter, M. H. Chisholm, and K. G. Caulton, “Mechanistic Role of H2 O and the Ligand in the Chemical Vapor Deposition of Cu, Cu2 O, CuO, and Cu3 N from Bis(1,1,1,5,5,5-hexafluoropentane-2,4-dionato)copper(II)”, Chem. Mater. 7, 1589–1596, 1995. [233] B. Lecohier, J.-M. Philippoz, and H. van den Bergh, “Selective low pressure chemical vapor deposition of copper and platinum”, J. Vac. Sci. Technol. B 10(1), 262–267, 1992.

218

Bibliography [234] B. Lecohier, B. Calpini, J.-M. Philippoz, T. Stumm, and H. van den Bergh, “Selective low pressure chemical vapor deposition of copper: Effect of added water vapor in hydrogen or helium carrier gas”, Appl. Phys. Lett. 60(25), 3114–3116, 1992. [235] A. Jain, J. Farkas, T. T. Kodas, K.-M. Chi, and M. J. Hampden-Smith, “Control of selectivity during chemical vapor deposition of copper from copper(I) compounds via silicon dioxide surface modification”, Appl. Phys. Lett. 61(22), 2662–2664, 1992. [236] A. Jain, T. T. Kodas, R. Jairath, and M. J. Hampden-Smith, “Selective and blanket copper chemical vapor deposition for ultra-large-scale integration”, J. Vac. Sci. Technol. B 11(6), 2107–2113, 1993. [237] E. Machado, M. Kaczmarski, P. Ordejón, D. Garg, J. Norman, and H. Cheng, “FirstPrinciples Analyses and Predictions on the Reactivity of Barrier Layers of Ta and TaN toward Organometallic Precursors for Deposition of Copper Films”, Langmuir 21, 7608– 7614, 2005. [238] E. Machado, M. Kaczmarski, B. Braida, P. Ordejón, D. Garg, J. Norman, and H. Cheng, “Interaction of copper organometallic precursors with barrier layers of Ti, Ta and W and their nitrides: a first-principles molecular dynamics study”, J. Mol. Model. 13, 861–864, 2007. [239] M. W. Lane, C. E. Murray, F. R. McFeely, P. M. Vereecken, and R. Rosenberg, “Liner materials for direct electrodeposition of Cu”, Appl. Phys. Lett. 83(12), 2330–2332, 2003. [240] O. Chyan, T. N. Arunagiri, and T. Ponnuswamy, “Electrodeposition of Copper Thin Film on Ruthenium. A Potential Diffusion Barrier for Cu Interconnects”, J. Electrochem. Soc. 150(5), C347–C350, 2003. [241] T. P. Moffat, M. Walker, P. J. Chen, J. E. Bonevich, W. F. Egelhoff, L. Richter, C. Witt, T. Aaltonen, M. Ritala, M. Leskelä, and D. Josell, “Electrodeposition of Cu on Ru Barrier Layers for Damascene Processing”, J. Electrochem. Soc. 153(1), C37–C50, 2006. [242] X.-P. Qu, J.-J. Tan, M. Zhou, T. Chen, Q. Xie, G.-P. Ru, and B.-Z. Li, “Improved barrier properties of ultrathin Ru film with TaN interlayer for copper metallization”, Appl. Phys. Lett. 88, 151912, 2006. [243] S.-H. Kwon, O.-K. Kwon, J.-S. Min, and S.-W. Kang, “Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals”, J. Electrochem. Soc. 153(6), G578–G581, 2006. [244] C.-W. Chen, J. S. Chen, and J.-S. Jeng, “Improvement on the Diffusion Barrier Performance of Reactively Sputtered Ru–N Film by Incorporation of Ta”, J. Electrochem. Soc. 155(6), H438–H442, 2008. [245] S.-W. Kim, S.-H. Kwon, S.-J. Jeong, and S.-W. Kang, “Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD”, J. Electrochem. Soc. 155(11), H885–H888, 2008. [246] S. Kumar, H. L. Xin, P. Ercius, D. A. Muller, and E. Eisenbraun, “ALD growth of a mixedphase novel barrier for seedless copper electroplating applications”, in Proc. IEEE 2008 International Interconnect Technology Conference (IITC 2008). 96–98, 2008.

219

Bibliography [247] K. Mori, K. Ohmori, N. Torazawa, S. Hirao, S. Kaneyama, H. Korogi, K. Maekawa, S. Fukui, K. Tomita, M. Inoue, H. Chibahara, Y. Imai, N. Suzumura, K. Asai, and M. Kojima, “Effects of Ru-Ta Alloy Barrier on Cu Filling and Reliability for Cu Interconnects”, in Proc. IEEE 2008 International Interconnect Technology Conference (IITC 2008). 99–101, 2008. [248] J. Ghijsen, L. H. Tjeng, J. van Elp, H. Eskes, J. Westerink, G. A. Sawatzky, and M. T. Czyzyk, “Electronic structure of Cu2 O and CuO”, Phys. Rev. B 38(16), 11322–11330, 1988. [249] N. S. McIntyre, S. Sunder, D. W. Shoesmith, and F. W. Stanchell, “Chemical information from XPS—applications to the analysis of electrode surfaces”, J. Vac. Sci. Technol. 18(3), 714–721, 1981. [250] S. W. Gaarenstroom and N. Winograd, “Initial and final state effects in the ESCA spectra of cadmium and silver oxides”, J. Chem. Phys. 67(8), 3500–3506, 1977. [251] M. R. Baklanov, D. G. Shamiryan, Z. T˝okei, G. P. Beyer, T. Conard, S. Vanhaelemeersch, and K. Maex, “Characterization of Cu surface cleaning by hydrogen plasma”, J. Vac. Sci. Technol. B 19(4), 1201–1211, 2001. [252] M. C. Wheeler, D. C. Seets, and C. B. Mullins, “Kinetics and dynamics of the initial dissociative chemisorption of oxygen on Ru(001)”, J. Chem. Phys. 105(4), 1572–1583, 1996. [253] O. Nilsen, O. B. Karlsen, A. Kjekshus, and H. Fjellvåg, “Simulation of growth dynamics in atomic layer deposition. Part I. Amorphous films”, Thin Solid Films 515, 4527–4537, 2007. [254] L. Brus, “Quantum Crystallites and Nonlinear Optics”, Appl. Phys. A 53, 465–474, 1991. [255] R. J. Elliott, “Symmetry of Excitons in Cu2 O”, Phys. Rev. 124(2), 340–345, 1961. [256] K. Borgohain, N. Murase, and S. Mahamuni, “Synthesis and properties of Cu2 O quantum particles”, J. Appl. Phys. 92(3), 1292–1297, 2002. [257] K. E. R. Brown and K.-S. Choi, “Electrochemical synthesis and characterization of transparent nanocrystalline Cu2 O films and their conversion to CuO films”, Chem. Commun. , 3311–3313, 2006. [258] U. Hilleringmann, Silizium-Halbleitertechnologie (5. Auflage), Vieweg+Teubner, Wiesbaden, 2008. [259] J. P. Chu and C. H. Lin, “High Performance Cu Containing Ru or RuNx for Barrierless Metallization”, in Proc. IEEE 2008 International Interconnect Technology Conference (IITC 2008). 25–27, 2008. [260] T. N. Arunagiri, Y. Zhang, O. Chyan, M. El-Bouanani, M. J. Kim, K. H. Chen, C. T. Wu, and L. C. Chen, “5 nm ruthenium thin film as a directly plateable copper diffusion barrier”, Appl. Phys. Lett. 86, 083104, 2005. [261] R. N. Pease and H. S. Taylor, “The reduction of copper oxide by hydrogen”, J. Am. Chem. Soc. 43(10), 2179–2188, 1921. [262] Y. N. Trehan, “The Reduction of Copper Oxides by Molecular Hydrogen”, Z. Anorg. Allg. Chem. 318, 107–112, 1962.

220

Bibliography [263] T. H. Fleisch and G. J. Mains, “Reduction of copper oxides by UV radiation and atomic hydrogen studied by XPS”, Applications of Surface Sciences 10, 51–62, 1982. [264] S. Poulston, P. M. Parlett, P. Stone, and M. Bowker, “Surface Oxidation and Reduction of CuO and Cu2 O Studied Using XPS and XAES”, Surf. Interface Anal. 24, 811–820, 1996. [265] Y. Sawada, N. Taguchi, and K. Tachibana, “Reduction of Copper Oxide Thin Film with Hydrogen Plasma Generated by a Dielectric-Barrier Glow Discharge”, Jpn. J. Appl. Phys. 38(11), 6506–6511, 1999. [266] R. Powell, D. Settles, L. Lane, C. Ygatua, A. Srivatsa, and C. Hayzelden, “Characterization of copper oxidation and reduction using spectroscopic ellipsometry”, Proc. SPIE 4182, 97– 105, 2000. [267] P. D. Kirsch and J. G. Ekerdt, “Chemical and thermal reduction of thin films of copper (II) oxide and copper (I) oxide”, J. Appl. Phys. 90(8), 4256–4264, 2001. [268] A. Satta, D. Shamiryan, M. R. Baklanov, C. M. Whelan, Q. T. Le, G. P. Beyer, A. Vantomme, and K. Maex, “The Removal of Copper Oxide by Ethyl Alcohol Monitored In Situ by Spectroscopic Ellipsometry”, J. Electrochem. Soc. 150(5), G300–G306, 2003. [269] M. H. Yamukyan, K. V. Manukyan, and S. K. Kharatyan, “Copper oxide reduction by hydrogen under the self-propagation reaction mode”, J. Alloys Compd. 473, 546–549, 2009. [270] J. Pritchard, “Activated Chemisorption of Hydrogen on Copper Films”, Nature 205, 1208, 1965. [271] C. S. Alexander and J. Pritchard, “Chemisorption of Hydrogen on Evaporated Copper Films”, J. Chem. Soc., Faraday Trans. 1 68, 202–215, 1972. [272] H. A. Michelsen and D. J. Auerbach, “A critical examination of data on the dissociative adsorption and associative desorption of hydrogen at copper surfaces”, J. Chem. Phys. 94(11), 7502–7520, 1991. [273] L. Clarke, “Dissociative Adsorption of Hydrogen by Copper and its Kinetic Consequences”, J. Am. Chem. Soc. 59(7), 1389–1391, 1937. [274] M. Balooch, M. J. Cardillo, D. R. Miller, and R. E. Stickney, “Molecular beam study of the apparent activation barrier associated with adsorption and desorption of hydrogen on copper”, Surf. Sci. 46, 358–392, 1974. [275] C.-Y. Yang and J. S. Chen, “Investigation of Copper Agglomeration at Elevated Temperatures”, J. Electrochem. Soc. 150(12), G826–G830, 2003. [276] J. A. T. Norman, M. Perez, S. E. Schulz, and T. Waechtler, “New precursors for CVD copper metallization”, Microelectron. Eng. 85, 2159–2163, 2008. [277] J. G. Jolley, G. G. Geesey, M. R. Haukins, R. B. Write, and P. L. Wichlacz, “Auger electron and X-ray photoelectron spectroscopic study of the biocorrosion of copper by alginic acid polysaccharide”, Appl. Surf. Sci. 37, 469–480, 1989. [278] H.-D. Liu, Y.-P. Zhao, G. Ramanath, S. P. Murarka, and G.-C. Wang, “Thickness dependent electrical resistivity of ultrathin (< 40 nm) Cu films”, Thin Solid Films 384, 151–156, 2001.

221

Bibliography [279] Y.-K. Sun and W. H. Weinberg, “Catalytic decomposition of formic acid on Ru(001): Transient measurements”, J. Chem. Phys. 94(6), 4587–4599, 1991. [280] M. R. Columbia and P. A. Thiel, “The interaction of formic acid with transition metal surfaces, studied in ultrahigh vacuum”, J. Electroanal. Chem. 369, 1–14, 1994. [281] S. Kim and D. J. Duquette, “Nucleation Characteristics of Directly Electrodeposited Copper on TiN”, J. Electrochem. Soc. 153(9), C673–C676, 2006. [282] L. Wu and E. Eisenbraun, “Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications”, J. Electrochem. Soc. 156(9), H734–H739, 2009. [283] H. Kim, T. Koseki, T. Ohba, T. Ohta, Y. Kojima, H. Sato, and Y. Shimogaki, “Cu Wettability and Diffusion Barrier Property of Ru Thin Film for Cu Metallization”, J. Electrochem. Soc. 152(8), G594–G600, 2005. [284] S. Kumar, D. Greenslit, T. Chakraborty, and E. T. Eisenbraun, “Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications”, J. Vac. Sci. Technol. A 27(3), 572–576, 2009. [285] D. Greenslit, T. Chakraborty, and E. Eisenbraun, “Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications”, J. Vac. Sci. Technol. B 27(2), 631–636, 2009. [286] A. Naeemi and J. D. Meindl, “Carbon Nanotube Interconnects”, Annu. Rev. Mater. Res. 39, 255–275, 2009. [287] D. Yokoyama, T. Iwasaki, K. Ishimaru, S. Sato, T. Hyakushima, M. Nihei, Y. Awano, and H. Kawarada, “Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects”, Jpn. J. Appl. Phys. 47(4), 1985–1990, 2008. [288] J. Robertson, G. Zhong, H. Telg, C. Thomsen, J. H. Warner, G. A. D. Briggs, U. DettlaffWeglikowska, and S. Roth, “Growth and characterization of high-density mats of singlewalled carbon nanotubes for interconnects”, Appl. Phys. Lett. 93, 163111, 2008. [289] M. Nihei, M. Horibe, A. Kawabata, and Y. Awano, “Simultaneous Formation of Multiwall Carbon Nanotubes and their End-Bonded Ohmic Contacts to Ti Electrodes for Future ULSI Interconnects”, Jpn. J. Appl. Phys. 43(4B), 1856–1859, 2004. [290] C. Wadia, A. P. Alivisatos, and D. M. Kammen, “Materials Availability Expands the Opportunity for Large-Scale Photovoltaics Deployment”, Environ. Sci. Technol. 43, 2072–2077, 2009. [291] H. G. Tompkins and E. A. Irene, eds., Handbook of Ellipsometry, William Andrew Publishing, Norwich, 2005. [292] G. E. Jellison, Jr. and F. A. Modine, “Parameterization of the optical functions of amorphous materials in the interband region”, Appl. Phys. Lett. 69(3), 371–373, 1996. [293] G. E. Jellison, Jr. and F. A. Modine, “Erratum: Parameterization of the optical functions of amorphous materials in the interband region”, Appl. Phys. Lett. 69(14), 2137, 1996.

222

Bibliography [294] J. Leng, J. Opsal, H. Chu, M. Senko, and D. E. Aspnes, “Analytic representations of the dielectric functions of materials for device and structural modeling”, Thin Solid Films 313314, 132–136, 1998. [295] T. Waechtler, B. Gruska, S. Zimmermann, S. E. Schulz, and T. Gessner, “Characterization of Sputtered Ta and TaN Film by Spectroscopic Ellipsometry”, in Proceedings of the 8th International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2006). 2184–2186, Shanghai (China), 23-26 October 2006. [296] O. Knacke, O. Kubaschewski, and K. Hesselmann, eds., Thermochemical Properties of Inorganic Substances, Vol. I, Springer-Verlag, Berlin et al., 1991. [297] O. Knacke, O. Kubaschewski, and K. Hesselmann, eds., Thermochemical Properties of Inorganic Substances, Vol. II, Springer-Verlag, Berlin et al., 1991. [298] I. Barin, Thermochemical Data of Pure Substances. Third Edition, Vol. I, VCH Verlagsgesellschaft mbH, Weinheim, 1995. [299] D. R. Lide and H. V. Kehiaian, CRC Handbook of Thermophysical and Thermochemical Data, CRC Press, Boca Raton et al., 1994.

223

Bibliography

224

List of Figures 1.1 1.2 1.3

Memorial plate at Bell Laboratories in remembrance of the invention of the bipolar transistor . . . . . . . . . . . . . . . . . . . . . . . . . . . Schematic cross-sectional view of a multilevel metallization system . . Detailed schematic of Cu ULSI dual damascene metallization . . . . . .

25 26 28

2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9

Overview of common thin film deposition techniques . Principle of ALD as a cyclic deposition process . . . . Langmuir isotherms . . . . . . . . . . . . . . . . . . . Mechanisms of chemisorption . . . . . . . . . . . . . Monolayer capacity . . . . . . . . . . . . . . . . . . . Temperature characteristic of the growth per cycle . . . Evolution of film thickness with number of ALD cycles Growth modes observed in ALD . . . . . . . . . . . . Different approaches for ALD growth of Cu films . . .

. . . . . . . . .

38 43 45 46 47 48 49 52 55

3.1 3.2 3.3

Magic triangle of precursor selection . . . . . . . . . . . . . . . . . . . Structure of (n Bu3 P)2 Cu(acac) . . . . . . . . . . . . . . . . . . . . . . Vapor pressure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

59 60 61

XPS depth profile of a Cu film obtained by CVD . . . . . . . . . . . . Results of the CVD screening experiments obtained on Ta . . . . . . . ALD study on Ta at 100°C using H2 O vapor as co-reactant . . . . . . . ALD results on Ta using H2 O vapor as co-reactant at different temperatures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.5 Characteristics for the ALD on Ta with H2 O as co-reactant . . . . . . . 4.6 Plan-view SEM images after ALD on Ta with wet O2 as co-reactant . . 4.7 Ta4d5 core level spectra for ALD samples grown on Ta with different oxidizing agents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.8 Photographs of wafers after (a) ALD on Ta with wet O2 at 135°C and (b) CVD at 140°C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.9 EDX results after ALD with O2 , H2 O, and wet O2 as co-reactants, as well as after CVD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.10 Plan-view SEM images after ALD on Ta with NH3 , H2 O/NH3 , and H2 as co-reactants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

70 71 73

4.1 4.2 4.3 4.4

. . . . . . . . .

. . . . . . . . .

. . . . . . . . .

. . . . . . . . .

. . . . . . . . .

. . . . . . . . .

. . . . . . . . .

. . . . . . . . .

73 74 76 76 77 77 79

225

List of Figures 4.11 Plan-view SEM images and cross-sectional TEM micrograph of ALD films grown on Ta/TaN with varying oxidation pulse length . . . . . . . 4.12 XPS depth profiles of ALD films grown on Ta/TaN with prolonged . . . 4.13 Plan-view SEM image and TEM cross-section of ALD films grown on TaN at 120 and 125°C . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.14 Plan-view SEM image, TEM cross-section, and Cu EELS map after ALD on TaN at 135°C . . . . . . . . . . . . . . . . . . . . . . . . . . 4.15 Growth characteristics for ALD on TaN at 135°C . . . . . . . . . . . . 4.16 XPS core level spectra of Cu 2p3/2 and Ta 4f as well as binding energy data obtained for the Cu LMM Auger transition from ALD samples on TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.17 Electron diffraction analysis of an ALD sample on TaN after crosssectional TEM preparation . . . . . . . . . . . . . . . . . . . . . . . . 4.18 Angle-resolved XPS analyses for ALD on TaN . . . . . . . . . . . . . 4.19 GPC as a function of temperature for ALD on various substrate materials 4.20 XPS spectra for ALD samples on SiO2 and Ru . . . . . . . . . . . . . 4.21 Top-view SEM image of a 5 nm thick ALD film grown on 20 nm SiO2 . 4.22 Plan-view TEM study of a ∼ 5 nm ALD film grown on 20 nm SiO2 . . . 4.23 XPS depth profile of a 5.2 nm thick copper oxide film grown on TaN . . 4.24 Film model used to analyze ellipsometric data from ALD films grown on thermal SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.25 Example of measured and modeled spectra of Ψ and ∆ for a 5.4 nm copper oxide film grown on 20 nm dry SiO2 . . . . . . . . . . . . . . . 4.26 (a) ALD film thickness as a function of the number of cycles for the growth on 300 nm wet SiO2 at 120°C, and (b) resulting film thickness after 400 ALD cycles with varying precursor pulse length suggesting saturated growth at this temperature . . . . . . . . . . . . . . . . . . . 4.27 Surface morphology of an initial 20 nm SiO2 film as well as after ALD processes at 120°C with varying number of cycles . . . . . . . . . . . . 4.28 Evolution of surface roughness with increasing number of ALD cycles on 20 nm SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.29 Complex dielectric function of ALD-grown copper oxide films grown on 20 nm dry SiO2 extracted from Lorentz-Drude models . . . . . . . . 4.30 Complex dielectric function of ALD-grown copper oxide films grown on 300 nm wet SiO2 extracted from Lorentz-Drude models . . . . . . . 4.31 Comparison of the thickness calculated for the ALD films when using Lorentz-Drude (LD) and Tauc-Lorentz (TL) models . . . . . . . . . . . 4.32 Comparison of the dielectric function modeled for ALD films grown on 20 nm SiO2 using Lorentz-Drude (LD) and Tauc-Lorentz (TL) models . 4.33 Comparison of the dielectric function modeled for ALD films grown on 300 nm SiO2 using Lorentz-Drude (LD) and Tauc-Lorentz (TL) models

226

83 84 87 88 89

90 91 92 93 94 94 95 97 98 99

100 101 101 102 103 105 106 107

List of Figures 4.34 (a) resulting film thickness for the growth on 40 nm TaN at 120°C after 400 ALD cycles with varying precursor pulses and an oxidation pulse length of 8 s. (b) ALD film thickness as a function of the number of cycles for the growth on TaN under similar conditions . . . . . . . . . . 4.35 Surface morphology of an initial 40 nm TaN film as well as after ALD processes with varying number of cycles . . . . . . . . . . . . . . . . . 4.36 Evolution of surface roughness with increasing number of ALD cycles on 40 nm TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.37 Film model used to analyze ellipsometric data from ALD films grown on TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.38 Evolution of surface roughness with increasing number of ALD cycles on 100 nm Ru . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.39 Resulting film thickness after 400 ALD cycles on Ru and variation of surface morphology with varying precursor pulse length . . . . . . . . 4.40 Film model used to analyze ellipsometric data from ALD films grown on Ru . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.41 Film thickness characteristic for ALD on Ru and cross-sectional TEM micrograph . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.42 Plan-view SEM micrograph after ALD on Ru . . . . . . . . . . . . . . 4.43 High-resolution cross-sectional TEM micrograph of an ALD film grown on Ru after 800 ALD cycles . . . . . . . . . . . . . . . . . . . . . . . 4.44 Complex dielectric function of ALD films grown on Ru with 50 to 800 cycles analyzed at three angles of incidence . . . . . . . . . . . . . . . 4.45 Complex dielectric function of ALD films grown on Ru with 50 to 800 cycles analyzed at 70° incidence angle with modified substrate models . 4.46 Film thickness on Ru for ALD at 120°C with increasing number of cycles derived from ellipsometry using adapted substrate models as well as models for the ALD films on SiO2 as starting point for the fit . . . . 4.47 XPS depth profile after 800 ALD cycles on Ru . . . . . . . . . . . . . 5.1

5.2 5.3 5.4

Normalized X-ray intensity for oxygen probed by EDX from samples after thermal reduction in H2 and immediately after the ALD growth of copper oxide on Ta/TaN underlayers, as well as evolution of sheet resistance of as-deposited Ta/TaN, ALD-coated Ta/TaN and samples after thermal H2 treatments . . . . . . . . . . . . . . . . . . . . . . . . . . . Top-view SEM images of ALD films after thermal reduction in H2 . . . . Normalized EDX spectra of copper oxide films on Ta/TaN determined after reduction in H plasma for processing times . . . . . . . . . . . . . Sheet resistance and SEM images of ALD films on Ta/TaN prior to and after H plasma reduction . . . . . . . . . . . . . . . . . . . . . . . . .

108 109 110 110 111 112 113 114 114 115 116 117

119 119

123 123 125 125

227

List of Figures 5.5

5.6 5.7 5.8 5.9 5.10 5.11 5.12

5.13

Normalized X-ray intensity at 0.532 keV (O peak) recorded by EDX for copper oxide films grown on Ta/TaN and TaN after thermal reduction with IPA and formic acid . . . . . . . . . . . . . . . . . . . . . . . . . Top-view SEM images of ALD films on Ta and TaN before and after reduction with IPA and formic acid . . . . . . . . . . . . . . . . . . . . XPS analysis of ALD Cu2 O grown on Ta (a) and TaN (b) after storage in air before and after reduction with formic acid . . . . . . . . . . . . Cu 2p and Cu LMM spectra of copper oxide films grown on Ru after ALD as well as after subsequent formic acid treatment . . . . . . . . . Cu 2p and Cu LMM spectra of copper oxide films grown on TaN after ALD as well as after subsequent formic acid treatment . . . . . . . . . Resistivity of ALD Cu films on TaN after formic acid reduction compared to data reported for evaporated Cu films on silica . . . . . . . . . ECD results obtained on PVD TaN with ALD Cu seed layer and on PVD TiN with in-situ sputtered Cu seed layer . . . . . . . . . . . . . . . . . Top-view SEM images of ECD Cu films obtained on plain Ru, on Ru with a sputtered Cu seed layer, and on Ru with an ALD-grown Cu seed layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Cross-sectional SEM images of ECD Cu films on SiO2 patterns having a sputtered Ru/TaN film stack without and with an additional ALD Cu seed layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

129 130 132 133 134 136

138

140

6.1

STEM analysis of a multi-walled carbon nanotube after copper oxide ALD149

A.1 A.2 A.3 A.4

Photograph of the ALD system “Varian Gartek” . . . Vacuum scheme of the ALD system “Varian Gartek” Outline of the bubbler system . . . . . . . . . . . . . Outline of the liquid delivery system . . . . . . . . .

. . . .

. . . .

. . . .

160 161 163 164

B.1 B.2 B.3 B.4

The principle of ellipsometry . . . . . . . . . . . . . . . . . . . . . Refraction and reflection of light at the surface of a bulk sample . . Complex dielectric function of a ∼ 40 nm sputtered TaN film on Si . Complex dielectric function of a ∼ 100 nm evaporated Ru film on Si

. . . .

. . . .

167 168 176 178

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

C.1 Change of Gibbs free energy for copper oxide reduction with molecular and atomic hydrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . C.2 Change of Gibbs free energy for the reduction of Cu2 O and CuO with organic reducing agents . . . . . . . . . . . . . . . . . . . . . . . . . . C.3 Change of Gibbs free energy for the reduction of Ta2 O5 with molecular and atomic hydrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . C.4 Change of Gibbs free energy for the reduction of Ta2 O5 with organic reducing agents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

228

128

196 197 198 198

List of Figures C.5 Change of Gibbs free energy for the reduction of RuO2 with molecular and atomic hydrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 C.6 Change of Gibbs free energy for the reduction of RuO2 with organic reducing agents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200

229

List of Figures

230

List of Tables

2.1 2.2 2.3 4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.9

5.1 5.2 5.3

6.1 6.2

Examples of linear growth, substrate-enhanced, and substrate-inhibited growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Selected examples of metal ALD processes . . . . . . . . . . . . . . . Results of ALD growth of Cu films reported in the literature . . . . . .

51 54 57

Process conditions for CVD screening experiments . . . . . . . . . . . 70 ALD cycle with water vapor as co-reactant . . . . . . . . . . . . . . . . 72 ALD cycle with wet O2 . . . . . . . . . . . . . . . . . . . . . . . . . . 75 ALD cycle with NH3 . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 ALD cycle combining oxidation and nitridation steps . . . . . . . . . . 79 ALD cycle with H2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 Typical ALD cycle for the studies on TaN, Ru, and SiO2 substrates . . . 86 Parameters of the Lorentz-Drude model used for fitting the exemplary (Ψ, ∆) spectra shown in Fig 4.25 . . . . . . . . . . . . . . . . . . . . . 99 Parameters of the Tauc-Lorentz Model to describe the dielectric function of a 5.2 nm Cu2 O film on 20 nm SiO2 . . . . . . . . . . . . . . . . . . 105 Conditions of the Cu electroplating processes for the samples shown in Fig. 5.12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138 Thickness and specific resistivity of ECD-grown Cu films on Ru as well as on Ru with a 9 nm sputtered and a 7-8 nm ALD-grown Cu seed layer 139 Conditions of the Cu electroplating processes for the patterned samples shown in Fig. 5.13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139 Summary of the developed copper oxide ALD for the different substrates studied . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147 Übersicht des entwickelten ALD-Prozesses zur Abscheidung von Kupferoxid für verschiedene Substratmaterialien . . . . . . . . . . . . . . . 156

B.1 Leng-Lorentz model used for parameterizing the dielectric function of the Si substrates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 B.2 Cauchy parameters for 20 nm dry thermal SiO2 in the spectral range from 1.5 to 6.0 eV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

231

List of Tables B.3 Cauchy parameters for 300 nm wet thermal SiO2 in the spectral range from 1.5 to 6.0 eV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.4 Parameters of the Lorentz-Drude model describing the dielectric properties of a typical 40 nm thick TaN film sputtered onto Si . . . . . . . . B.5 Parameters of the Lorentz-Drude model describing the dielectric properties of a 100 nm thick as-deposited Ru film . . . . . . . . . . . . . . . B.6 Parameters of the Lorentz-Drude model describing the dielectric properties of a 100 nm thick heat treated Ru film . . . . . . . . . . . . . . . B.7 Lorentz-Drude model for copper oxide films after 50 ALD cycles on 20 nm dry SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.8 Lorentz-Drude model for copper oxide films after 200 ALD cycles on 20 nm dry SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.9 Lorentz-Drude model for copper oxide films after 400 ALD cycles on 20 nm dry SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.10 Lorentz-Drude model for copper oxide films after 800 ALD cycles on 20 nm dry SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.11 Tauc-Lorentz model for copper oxide films after 50 ALD cycles on 20 nm dry SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.12 Tauc-Lorentz model for copper oxide films after 400 ALD cycles on 20 nm dry SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.13 Tauc-Lorentz model for copper oxide films after 800 ALD cycles on 20 nm dry SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.14 Lorentz-Drude model for copper oxide films after 200 ALD cycles on 300 nm wet SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.15 Lorentz-Drude model for copper oxide films after 400 ALD cycles on 300 nm wet SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.16 Lorentz-Drude model for copper oxide films after 600 ALD cycles on 300 nm wet SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.17 Lorentz-Drude model for copper oxide films after 800 ALD cycles on 300 nm wet SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.18 Tauc-Lorentz model for copper oxide films after 200 ALD cycles on 300 nm wet SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.19 Tauc-Lorentz model for copper oxide films after 400 ALD cycles on 300 nm wet SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.20 Tauc-Lorentz model for copper oxide films after 800 ALD cycles on 300 nm wet SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.21 Lorentz-Drude model for copper oxide films after 100 ALD cycles on 40 nm TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.22 Lorentz-Drude model for copper oxide films after 200 ALD cycles on 40 nm TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.23 Lorentz-Drude model for copper oxide films after 400 ALD cycles on 40 nm TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

232

175 175 177 177 179 179 180 180 181 181 181 182 182 183 183 184 184 184 185 185 186

List of Tables B.24 Lorentz-Drude model for copper oxide films after 600 ALD cycles on 40 nm TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.25 Lorentz-Drude model for copper oxide films after 800 ALD cycles on 40 nm TaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.26 Lorentz-Drude model for copper oxide films after 50 ALD cycles on 100 nm Ru using data of three angles of incidence . . . . . . . . . . . . B.27 Lorentz-Drude model for copper oxide films after 100 ALD cycles on 100 nm Ru using data of three angles of incidence . . . . . . . . . . . . B.28 Lorentz-Drude model for copper oxide films after 200 ALD cycles on 100 nm Ru using data of three angles of incidence . . . . . . . . . . . . B.29 Lorentz-Drude model for copper oxide films after 400 ALD cycles on 100 nm Ru using data of three angles of incidence . . . . . . . . . . . . B.30 Lorentz-Drude model for copper oxide films after 600 ALD cycles on 100 nm Ru using data of three angles of incidence . . . . . . . . . . . . B.31 Lorentz-Drude model for copper oxide films after 800 ALD cycles on 100 nm Ru using data of three angles of incidence . . . . . . . . . . . . B.32 Lorentz-Drude model for copper oxide films after 50 ALD cycles on 100 nm Ru using data of one angle of incidence . . . . . . . . . . . . . B.33 Lorentz-Drude model for copper oxide films after 100 ALD cycles on 100 nm Ru using data of one angle of incidence . . . . . . . . . . . . . B.34 Lorentz-Drude model for copper oxide films after 200 ALD cycles on 100 nm Ru using data of one angle of incidence . . . . . . . . . . . . . B.35 Lorentz-Drude model for copper oxide films after 400 ALD cycles on 100 nm Ru using data of one angle of incidence . . . . . . . . . . . . . B.36 Lorentz-Drude model for copper oxide films after 600 ALD cycles on 100 nm Ru using data of one angle of incidence . . . . . . . . . . . . . B.37 Lorentz-Drude model for copper oxide films after 800 ALD cycles on 100 nm Ru using data of one angle of incidence . . . . . . . . . . . . .

186 186 187 187 188 188 188 189 189 190 190 190 191 191

C.1 Thermochemical properties of selected inorganic substances . . . . . . 201 C.2 Thermochemical properties of selected organic substances . . . . . . . 202

233

List of Tables

234

Versicherung Hiermit versichere ich, dass ich die vorliegende Arbeit ohne unzulässige Hilfe Dritter und ohne Benutzung anderer als der angegebenen Hilfsmittel angefertigt habe; die aus fremden Quellen direkt oder indirekt übernommenen Gedanken sind als solche kenntlich gemacht. Bei der Auswahl und Auswertung des Materials sowie bei der Herstellung des Manuskripts habe ich Unterstützungsleistungen von folgenden Personen erhalten: – keine – Weitere Personen waren an der Abfassung der vorliegenden Arbeit nicht beteiligt. Die Hilfe eines Promotionsberaters habe ich nicht in Anspruch genommen. Weitere Personen haben von mir keine geldwerten Leistungen für Arbeiten erhalten, die im Zusammenhang mit dem Inhalt der vorgelegten Dissertation stehen. Die Arbeit wurde bisher weder im Inland noch im Ausland in gleicher oder ähnlicher Form einer anderen Prüfungsbehörde vorgelegt.

Chemnitz, 02.12.2009

..................... Thomas Wächtler

235

236

Theses of the dissertation “Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices” for attainment of the title “Dr.-Ing.” at Chemnitz University of Technology, Faculty for Electrical Engineering and Information Technology, presented by Dipl.-Ing. Thomas Wächtler Chemnitz, December 2, 2009

1. Multi-level metallization systems in state-of-the-art ultra-large scale integrated electronic circuits rely on copper as the conductor material and require diffusion barrier films of < 5 nm thickness as well as metallic nucleation layers of approximately 10 nm in thickness for the subsequent electrochemical copper deposition. 2. The width of the smallest features in an interconnect system currently takes values of ∼ 50 nm and will decrease below 20 nm beyond the 22 nm technology node. At the same time, the aspect ratio of the structures steadily increases up to values of ∼ 5 in the Dual Damascene structures of the global wiring levels. 3. Atomic layer deposition (ALD) is an alternative film growth method to the established PVD techniques applied to date for manufacturing the metallization systems. It is most economical for very thin films of only several nanometers in thickness. As a gas-phase chemical deposition technique, it is able to grow ultra-thin films uniformly both in nanostructures and across large-area substrates. 4. Because ALD relies on reacting a metal or semiconductor precursor with a coreactant to form the desired material, the method is best suited for depositing

237

Theses compounds such as sulfides, nitrides, or oxides. Growing metallic films by ALD is not straight-forward, especially with respect to base metals. 5. For growing copper films by ALD, several pathways are possible. Thermal ALD approaches at moderate temperatures to deposit copper compounds that are subsequently reduced have been found advantageous. 6. Metal(I) β-diketonates have so far been considered inappropriate for ALD. Nevertheless, copper oxide can be grown by thermal ALD from the liquid Cu(I) β-diketonate precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate, [(n Bu3 P)2 Cu(acac)], and wet oxygen as co-reactant. Being non-fluorinated, the precursor can be economically synthesized in large quantities and avoids fluorine contamination of the films that can impede adhesion. 7. With the precursor combination, smooth, continuous, and adherent Cu2 O films on TaN, SiO2 , and Ru substrates are obtained in ALD windows between 100 and 125°C. 8. On Ta, bimodal growth behavior giving isolated clusters atop continuous films results from CVD modes overlaying the ALD. 9. The values of the growth-per-cycle (GPC) increase the more metallic the substrate is. 10. On SiO2 , the films grow in an island-growth mode and coalesce to continuous films between 50 and 200 cycles, which is also expressed by distinct features in the dielectric function of the films during the early stages of the growth. 11. Differences in surface chemistry on dry and wet thermal SiO2 lead to different saturating values of the GPC as well as to a blue-shift of the dielectric function of the Cu2 O films on wet compared to dry thermal SiO2 . 12. The ALD films on SiO2 are unstable under electron beam irradiation and decompose towards Cu. 13. Cu2 O films deposited on TaN are characterized by two-dimensional growth and perfect saturation of the GPC. No intermixing with the substrate materials occurs.

238

Theses 14. On PVD Ru, no complete saturation of the GPC is obtained due to strong intermixing with the substrate. This effect in conjunction with the columnar microstructure and roughness of the Ru films also impedes a reliable thickness determination of the ALD films by spectroscopic ellipsometry. 15. The copper oxide ALD films are reduced to metallic copper by thermal vapor phase processes using formic acid as the reducing agent. These processes proceed at similar temperatures as the ALD is carried out, so that agglomeration of the ultra-thin films is avoided. 16. Due to the catalytic behavior of Ru with respect to the dissociation of formic acid towards atomic hydrogen, the reduction is more effective on Ru compared to TaN. As a result, electrochemical copper deposition (ECD) on TaN seeded with ALD Cu only gives discontinuous, grainy deposits. Optimizing both the reduction process and the subsequent ECD for an application with TaN diffusion barriers is therefore imperative. 17. ECD on blanket Ru without a Cu seed layer results in rough films. With an ALDgrown Cu seed layer, the results are significantly improved both with respect to film morphology and resistivity, even surpassing the properties of ECD Cu films obtained on Ru with a sputtered Cu seed layer of equal thickness as the ALD film. This is attributed to the intermixing of the copper oxide with the Ru substrate during the ALD process. 18. In line and via patterns of 200 nm minimum width and maximum aspect ratio 4 fabricated in SiO2 and sputter-coated with 10 nm TaN and 5 to 15 nm Ru, ECD Cu deposition is significantly improved by an ALD-grown Cu seed layer as well. In comparison, porous, voided films are obtained on the Ru-coated patterns directly. 19. The combination of ALD Cu with Ru can enable the extension of Cu-based metallization schemes to future technology nodes in manufacturing ultra-large scale integrated semiconductor devices. 20. With carbon nanotubes (CNT) anticipated as a material for via interconnects, the ALD process developed also promises to be applied for an integration of CNTs

239

Theses with Cu-based metallization schemes, for example to form electrical contacts between the CNTs and adjacent metal levels or to deposit CNT/Cu composits for via metallization. 21. Being an abundant semiconductor, copper oxide is an interesting material for the economical mass production of thin film solar cells. The ALD process developed here therefore bears potential for this application as well.

240

Curriculum Vitae Name: Date of birth: Place of birth:

Thomas Wächtler January 31, 1979 Karl-Marx-Stadt (now Chemnitz)

Nationality: Marital status:

German Single, no children

Professional Experience Since Research Associate at Fraunhofer ENAS, Chemnitz (Germany) 07/2009 09/2004 to 06/2009

Research Associate at the Center for Microtechnologies, Chemnitz University of Technology, Chemnitz (Germany)

07/2006 to 06/2009

PhD student in the International Research Training Group 1215 “Materials and Concepts for Advanced Interconnects” at Chemnitz University of Technology

04/2009 to 06/2009

Visiting Scholar at the Department of Microelectronics, Fudan University, Shanghai (PR China)

10/2002 to 07/2003

Research Intern at Bell Laboratories – Lucent Technologies, Murray Hill, NJ (USA)

Study 10/1998 to 07/2004

02/2001

Studies in Electrical Engineering with specialization in Electronics/Microelectronics at Chemnitz University of Technology; Graduation with the Dipl.-Ing. degree (grade: 1.1 “With Honors”); Topic of the Diploma Thesis (in German): “Evaluation of novel metal-organic precursors for the chemical vapor deposition of copper for metallization systems in microelectronics” Admission as Scholar of the German National Academic Foundation (Studienstiftung des deutschen Volkes)

Military Service 09/1997 to Military service at German army bases in Eschweiler and Volkach 06/1998 School Education 07/1997 Abitur (German university entrance qualification) at Samuel-von-PufendorfGymnasium Flöha (grade: 1.0) Chemnitz, December 2, 2009

241

242

Own Publications In the following, publications and further scientific works Thomas Wächtler authored or coauthored are listed.

Journal Publications and Contributions to Conference Proceedings 1. Waechtler, T.; Roth, N.; Mothes, R.; Schulze, S.; Schulz, S.E.; Gessner, T.; Lang, H.; Hietschold, M.: Copper Oxide ALD from a Cu(I) β-Diketonate: Detailed Growth Studies on SiO2 and TaN. ECS Trans. 25 (4), 277-287 (2009). 2. Waechtler, T.; Oswald, S.; Roth, N.; Jakob, A.; Lang, H.; Ecke, R.; Schulz, S.E.; Gessner, T.; Moskvinova, A.; Schulze, S.; Hietschold, M.: Copper Oxide Films Grown by Atomic Layer Deposition from Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru and SiO2 . J. Electrochem. Soc. 156 (6), H453-H459 (2009). 3. Jakob, A.; Shen, Y.; Waechtler, T.; Schulz, S.E.; Gessner, T.; Riedel, R.; Fasel, C.; Lang, H.: Copper(I) Carboxylates of Type [(n Bu3 P)m CuO2 CR] (m = 1, 2, 3) – Synthesis, Properties, and Their Use as CVD Precursors. Z. Anorg. Allg. Chem. 634, 2226-2234 (2008). 4. Waechtler, T.; Oswald, S.; Pohlers, A.; Schulze, S.; Schulz, S.E.; Gessner, T.: Copper and Copper Oxide Composite Films Deposited by ALD on Tantalum-Based Diffusion Barriers. Proceedings, Advanced Metallization Conference 2007, Mater. Res. Soc. Conf. Proc. AMC XXIII, 23-29 (2008). 5. Norman, J.A.T.; Perez, M.; Schulz, S.E.; Waechtler, T.: New Precursors for CVD Copper Metallization. Microelectron. Eng. 85, 2159-2163 (2008). 6. Rudra, S.; Wächtler, T.; Friedrich, M.; Louis, S.J.; Himcinschi, C.; Zimmermann, S.; Schulz, S.E.; Silaghi, S.; Cobet, C.; Esser, N.; Gessner, T.; Zahn, D.R.T.: Spectroscopic ellipsometry study of thin diffusion barriers of TaN and Ta for Cu interconnects in integrated circuits. phys. stat. sol. (a) 205 (4), 922-926 (2008). 7. Roth, N.; Jakob, A.; Waechtler, T.; Schulz, S.E.; Gessner, T.; Lang, H.: Phosphane copper(I) complexes as CVD precursors. Surf. Coat. Technol. 201 (22-23), 9089-9094 (2007). 8. Frühauf, S.; Gessner, T.; Haase, T.; Jakob, A.; Kohse-Hoeinghaus, K.; Lang, H.; Schulz, S.E.; Wächtler, T.: Novel Copper(I) and Silver(I) Complexes as Precursors for Chemical Vapor Deposition and Spin-Coating of Copper and Silver. Smart Systems Integration 2007, Paris (France), 27-28 March 2007, Proceedings pp. 531-533. 9. Waechtler, T.; Gruska, B.; Zimmermann, S.; Schulz, S.E.; Gessner, T.: Characterization of Sputtered Ta and TaN Films by Spectroscopic Ellipsometry. IEEE 8th International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2006), 23-26 October 2006, Shanghai (China), Proceedings pp. 2184-2186. 10. Schmidt, H.; Jakob, A.; Haase, T.; Kohse-Höinghaus, K.; Schulz, S.E.; Wächtler, T.; Gessner, T.; Lang, H.: n Bu3 P-Silber(I)-β-Diketonate: Synthese, Gasphasenuntersuchungen und Verwendung als CVD-Precursoren. Z. Anorg. Allg. Chem. (ZAAC) 631 (13-14), 2786-2791 (2005).

243

Own Publications 11. Manfra, M.J.; Weimann, N.G., Mitrofanov, O.; Waechtler, T.; Tennant, D.M.: High power GaN/AlGaN/GaN HEMTs operating at 2 to 25 GHz grown by plasma-assisted MBE. phys. stat. sol. (a) 200 (1), 175-178 (2003). 12. Weimann, N.G.; Manfra, M.J.; Wächtler, T.: Unpassivated AlGaN-GaN HEMTs with minimal RF dispersion grown by plasma assisted MBE on semi-insulating 6H-SiC substrates. IEEE Electron Dev. Lett. 24 (2), 57-59 (2003).

Contributions to Conferences 1. Schulz, S.E.; Waechtler, T.; Hofmann, L.: Copper Films Grown via Copper Oxide ALD: Routes and Challenges for Integration with Next-Generation Interconnect Materials. Invited Talk; Advanced Metallization Conference 2009, 19th Asian Session (ADMETA 2009), Tokyo (Japan), 19-21 October 2009. 2. Waechtler, T.; Hofmann, L.; Schulz, S.E.: Copper Films Grown via Copper Oxide ALD: Routes and Challenges for Integration with Next-Generation Interconnect Materials. Invited Talk; 2009 Advanced Metallization Conference (AMC 2009), Baltimore, MD (USA), 13-15 October 2009. 3. Waechtler, T.; Hofmann, L.; Mothes, R.; Schulze, S.; Schulz, S.E.; Gessner, T.; Lang, H.; Hietschold, M.: Copper Oxide ALD from a Cu(I) β-Diketonate: Growth Studies and Application as Seed Layers for Electrochemical Copper Deposition. 216th ECS Meeting, Vienna (Austria), 4-9 October 2009. 4. Waechtler, T.; Schulze, S.; Hofmann, L.; Hermann, S.; Roth, N.; Schulz, S.E.; Gessner, T.; Lang, H.; Hietschold, M.: Detailed Study of Copper Oxide ALD on SiO2 , TaN, and Ru. AVS 9th International Conference on Atomic Layer Deposition (ALD 2009), Monterey, CA (USA), 19-22 July 2009. 5. Waechtler, T.; Schulz, S.E.: Copper Oxide and Copper Thin Films Grown by ALD for Seed Layer Applications. Invited Talk; Twenty Fifth International VLSI Multilevel Interconnection Conference (VMIC), Fremont, CA (USA), 28-30 October 2008. 6. Waechtler, T.; Oswald, S.; Roth, N.; Lang, H.; Ecke, R.; Schulz, S.E.; Gessner, T.: Atomic Layer Deposition of Ultra-Thin Copper and Copper Oxide Films for ULSI Metallization Purposes. Advanced Metallization Conference (AMC 2008), San Diego, CA (USA), 23-25 September 2008. 7. Roth, N.; Jakob, A.; Waechtler, T.; Schulz, S.E.; Gessner, T.; Lang, H.: Silver, Copper and Ruthenium Precursors for ALD and CVD – An Overview. GerALD 2008 – German Workshop on Atomic Layer Deposition, Halle (Saale) (Germany), Max Planck Institute of Microstructure Physics, 22-23 September 2008. 8. Waechtler, T.; Roth, N.; Oswald, S.; Lang, H.; Schulz, S.E.; Gessner, T.: Atomic layer deposition of copper and copper oxide thin films for applications in microelectronic metallization systems. Invited Talk; GerALD 2008 – German Workshop on Atomic Layer Deposition, Halle (Saale) (Germany), Max Planck Institute of Microstructure Physics, 22-23 September 2008. 9. Waechtler, T.; Oswald, S.; Roth, N.; Lang, H.; Schulz, S.E.; Gessner, T.: ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices. 8th International Conference on Atomic Layer Deposition (ALD 2008), Bruges (Belgium), 29 June - 2 July 2008. 10. Norman, J.A.T.; Perez, M.; Schulz, S.E.; Waechtler, T.: New Precursors for CVD Copper Metallization. Materials for Advanced Metallization (MAM), Dresden (Germany), 2-5 March 2008.

244

Own Publications 11. Waechtler, T.; Oswald, S.; Pohlers, A.; Schulze, S.; Schulz, S.E.; Gessner, T.: Copper and copper oxide composite films deposited by ALD on tantalum-based diffusion barriers. Advanced Metallization Conference (AMC), Albany, NY (USA), 9-11 October 2007. 12. Waechtler, T.; Jakob, A.; Roth, N.; Oswald, S.; Schulz, S.E.; Lang, H.; Gessner, T.: Copper thin films grown via ALD of copper oxide. European Congress on Advanced Materials and Processes – EUROMAT, Nuremberg (Germany), 10-13 September 2007. 13. Waechtler, T.; Roth, N.; Oswald, S.; Schulz, S.E.; Lang, H.; Gessner, T.: Composite films of copper and copper oxide deposited by ALD. AVS 7th International Conference on Atomic Layer Deposition (ALD 2007), San Diego, CA (USA), 24-27 June 2007. 14. Rudra, S.; Friedrich, M.; Louis, S.J.; Wächtler, T.; Himcinschi, C.; Zahn, D.R.T.: Spectroscopic ellipsometry study of thin diffusion barriers of TaN and Ta for Cu interconnects in integrated circuits. 4th International Conference on Spectroscopic Ellipsometry, Stockholm (Sweden), 11-15 June 2007. 15. Rudra, S; Friedrich, M.; Louis, S.; Gordan, O.; Wächtler, T.; Zahn, D.: Spectroscopic ellipsometry study of thin diffusion barriers of TaN and Ta for Cu interconnects in integrated circuits. 71st Annual Meeting of the Deutsche Physikalische Gesellschaft and DPG Spring Meeting of the Division Condensed Matter, Regensburg (Germany), 26-30 March 2007. 16. Waechtler, T.; Shen, Y.; Jakob, A.; Ecke, R.; Schulz, S.E.; Wittenbecher, L.; Sterzel, H.-J.; Tiefensee, K.; Oswald, S.; Schulze, S.; Lang, H.; Hietschold, M.; Gessner, T.: Evaluation of Phosphite and Phosphane Stabilized Copper(I) Trifluoroacetates as Precursors for the Metal-Organic Chemical Vapor Deposition of Copper. Materials for Advanced Metallization Conference (MAM 2006), Grenoble (France), 6-8 March 2006. 17. Waechtler, T.; Gruska, B.; Zimmermann, S.; Schulz, S.E.; Gessner, T.: Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry. 4th Workshop Ellipsometry, Berlin (Germany), 20-22 February 2006. 18. Waechtler, T.; Manfra, M.J.; Weimann, N.G.: High power AlGaN/GaN HEMTs grown by plasma-assisted MBE operating at 2 to 25 GHz. 61st Annual Device Research Conference (DRC), Salt Lake City, UT (USA), 23-25 June 2003.

Patent Application 1. Waechtler, T.; Gessner, T.; Schulz, S.; Lang, H.; Jakob, A.: Substrate having a coating comprising copper and method for the production thereof by means of atomic layer deposition. Patent Application Publication, WO 2009/071076 A1 (11 June 2009). Other Works 1. Wächtler, T.: Bewertung neuartiger metallorganischer Precursoren für die chemische Gasphasenabscheidung von Kupfer für Metallisierungssysteme der Mikroelektronik. Diploma Thesis (Diplomarbeit), TU Chemnitz, Chemnitz (Germany) (2004), Published by GRINVerlag, Munich (2008), ISBN 978-3638949118, available online at http://archiv. tu-chemnitz.de/pub/2005/0159. 2. Wächtler, T.: Entwurf, Herstellung und Charakterisierung von GaN/AlGaN/GaN High Electron Mobility Transistoren für Leistungsanwendungen im GHz-Bereich. Research Internship Report, TU Chemnitz, Chemnitz (Germany) (2003), available online at http: //archiv.tu-chemnitz.de/pub/2005/0194. 3. Waechtler, T.: Conception of an integrated optical waveguide amplifier. Student Research Project (Studienarbeit), TU Chemnitz, Chemnitz (Germany) (2002), available online at http://archiv.tu-chemnitz.de/pub/2004/0097.

245